|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 175 occurrences of 137 keywords
|
|
|
Results
Found 539 publication records. Showing 539 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
153 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Minimum-Area Sequential Budgeting for FPGA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003, pp. 813-817, 2003, IEEE Computer Society / ACM, 1-58113-762-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
139 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Sequential delay budgeting with interconnect prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 12(10), pp. 1028-1037, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
120 | Yuichi Nakamura 0002, Mitsuru Tagata, Takumi Okamoto, Shigeyoshi Tawada, Ko Yoshikawa |
Budgeting-free hierarchical design method for large scale and high-performance LSIs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 955-958, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
budgeting, physical synthesis, hierarchical design |
99 | Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan |
Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 478-483, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
FPGA, low power, retiming |
99 | Elaheh Bozorgzadeh, Soheil Ghiasi, Atsushi Takahashi 0001, Majid Sarrafzadeh |
Optimal integer delay budgeting on directed acyclic graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 920-925, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
95 | Elaheh Bozorgzadeh, Soheil Ghiasi, Atsushi Takahashi 0001, Majid Sarrafzadeh |
Optimal integer delay-budget assignment on directed acyclic graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(8), pp. 1184-1199, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
93 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Delay budgeting in sequential circuit with application on FPGA placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 202-207, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
delay budgeting, FPGA, placement, sequential circuits |
85 | Xueqian Zhao, Yonghe Guo, Zhuo Feng, Shiyan Hu |
Parallel hierarchical cross entropy optimization for on-chip decap budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 47th Design Automation Conference, DAC 2010, Anaheim, California, USA, July 13-18, 2010, pp. 843-848, 2010, ACM, 978-1-4503-0002-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
parallel computing, cross-entropy, decoupling capacitor |
85 | Olivier Omedes, Michel Robert, Mohammed Ramdani |
A flexibility aware budgeting for hierarchical flow timing closure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 261-266, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
81 | Soheil Ghiasi, Elaheh Bozorgzadeh, Siddharth Choudhuri, Majid Sarrafzadeh |
A unified theory of timing budget management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 653-659, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
79 | Bjarte Bogsnes |
Keynote: Beyond Budgeting in a Lean and Agile World. ![Search on Bibsonomy](Pics/bibsonomy.png) |
XP ![In: Agile Processes in Software Engineering and Extreme Programming, 10th International Conference, XP 2009, Pula, Sardinia, Italy, May 25-29, 2009. Proceedings, pp. 5-7, 2009, Springer, 978-3-642-01852-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Beyond budgeting, lean development, agile development, leadership |
79 | Chao-Yang Yeh, Malgorzata Marek-Sadowska |
Sequential delay budgeting with interconnect prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The 5th International Workshop on System-Level Interconnect Prediction (SLIP 2003), Monterey, CA, USA, April 5-6, 2003, Proceedings, pp. 23-30, 2003, ACM, 1-58113-627-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
delay budgeting, sequential circuits, interconnect prediction |
74 | Le Kang, Yici Cai, Yi Zou, Jin Shi, Xianlong Hong, Sheldon X.-D. Tan |
Fast Decoupling Capacitor Budgeting for Power/Ground Network Using Random Walk Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 751-756, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
programming method, decoupling capacitor budgeting algorithm, random walk approach, decap budgeting algorithm, power ground network design, isolation property, decap optimization process, leakage currents optimization algorithm, refined leakage model, heuristic method |
72 | Ripal Nathuji, Karsten Schwan |
Vpm tokens: virtual machine-aware power budgeting in datacenters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 17th International Symposium on High-Performance Distributed Computing (HPDC-17 2008), 23-27 June 2008, Boston, MA, USA, pp. 119-128, 2008, ACM, 978-1-59593-997-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
virtualization, power management |
72 | Jinjun Xiong, Lei He 0001 |
Full-chip routing optimization with RLC crosstalk budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(3), pp. 366-377, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
72 | Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez |
A delay budgeting algorithm ensuring maximum flexibility in placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(11), pp. 1332-1341, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
60 | Lawrence D. Phillips, Carlos A. Bana e Costa |
Transparent prioritisation, budgeting and resource allocation with multi-criteria decision analysis and decision conferencing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 154(1), pp. 51-68, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Managerial decision making, Multi-criteria decision analysis, Prioritisation, Commons dilemma, Capital planning, Capital budgeting, Resource allocation, System design, Procurement, Real-world applications, Budgeting, Equity |
58 | Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan |
Physical synthesis for FPGA interconnect power reduction by dual-Vdd budgeting and retiming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(2), pp. 30:1-30:29, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
FPGA, Low power, retiming |
58 | Ian Gow, Stefan Reichelstein |
Capital Budgeting: The Role of Cost Allocations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OR ![In: Operations Research, Proceedings 2006, Selected Papers of the Annual International Conference of the German Operations ResearchSociety (GOR), Jointly Organized with the Austrian Society of Operations Research (ÖGOR) and the Swiss Society of Operations Research (SVOR), Karlsruhe, Germany, September 6-8, 2006, pp. 115-121, 2006, 978-3-540-69994-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
58 | Juan Carlos Vidal, Manuel Lama, Alberto Bugarín, Senén Barro |
Problem-Solving Analysis for the Budgeting Task in Furniture Industry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES ![In: Knowledge-Based Intelligent Information and Engineering Systems, 7th International Conference, KES 2003, Oxford, UK, September 3-5, 2003, Proceedings, Part II, pp. 1307-1313, 2003, Springer, 3-540-40804-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
54 | Love Singhal, Elaheh Bozorgzadeh, David Eppstein |
Interconnect Criticality-Driven Delay Relaxation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(10), pp. 1803-1817, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
54 | Soheil Ghiasi, Elaheh Bozorgzadeh, Po-Kuan Huang, Roozbeh Jafari, Majid Sarrafzadeh |
A Unified Theory of Timing Budget Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(11), pp. 2364-2375, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
52 | Ricardo Matheus, Manuella Maia Ribeiro |
Models for citizen engagement in Latin American: case studies of public digital budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICEGOV ![In: ICEGOV 2009, Proceedings of the 3rd International Conference on Theory and Practice of Electronic Governance, Bogota, Colombia, November 10-13, 2009, pp. 109-116, 2009, ACM, 978-1-60558-663-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Latin American, citizen engagement, public digital budgeting, e-governance, e-government |
52 | Jan vom Brocke, Christian Buddendick, Alexander Simons |
Reference Modeling for Higher Education Budgeting: Applying the H2 Toolset for Conceptual Modeling of Performance-Based Funding Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Business Process Management Workshops ![In: Business Process Management Workshops, BPM 2007 International Workshops, BPI, BPD, CBP, ProHealth, RefMod, semantics4ws, Brisbane, Australia, September 24, 2007, Revised Selected Papers, pp. 431-442, 2007, Springer, 978-3-540-78237-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
H2, Higher Education (HE), Performance-Based Funding, Reference Modeling, Information Model, Budgeting, Incentive System, Indicator System |
52 | Min Zhao 0001, Rajendran Panda, Savithri Sundareswaran, Shu Yan, Yuhong Fu |
A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 217-222, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
sequence of linear programming, macromodeling, budgeting, decoupling capacitance |
44 | Yiyu Shi 0001, Jinjun Xiong, Chunchen Liu, Lei He 0001 |
Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(7), pp. 1253-1263, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
44 | Peng-Yang Hung, Ying-Shu Lou, Yih-Lang Li |
Minimum Shield Insertion on Full-Chip RLC Crosstalk Budgeting Routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 514-519, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Shield insertion, track routing, crosstalk optimization, global routing |
44 | Yiyu Shi 0001, Jinjun Xiong, Chunchen Liu, Lei He 0001 |
Efficient decoupling capacitance budgeting considering operation and process variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 803-810, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Ruiming Chen, Hai Zhou 0001 |
Timing budgeting under arbitrary process variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 344-349, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Pieter J. L. Cuijpers, Reinder J. Bril |
Towards Budgeting in Real-Time Calculus: Deferrable Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FORMATS ![In: Formal Modeling and Analysis of Timed Systems, 5th International Conference, FORMATS 2007, Salzburg, Austria, October 3-5, 2007, Proceedings, pp. 98-113, 2007, Springer, 978-3-540-75453-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Jialin Mi, Chunhong Chen |
Power-Oriented Delay Budgeting for Combinational Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2-3 March 2006, Karlsruhe, Germany, pp. 361-366, 2006, IEEE Computer Society, 0-7695-2533-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Jin-Tai Yan, Kai-Ping Lin, Yue-Fong Luo |
Floorplan-aware decoupling capacitance budgeting on equivalent circuit model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Jialin Mi, Chunhong Chen, H. K. Kwan |
Power-oriented delay budgeting for combinational circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Jinjun Xiong, Jun Chen 0008, James D. Z. Ma, Lei He 0001 |
Post global routing RLC crosstalk budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 504-509, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
44 | Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez |
Unification of Budgeting and Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997., pp. 758-761, 1997, ACM Press, 0-89791-920-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
39 | Tadaaki Tanimoto, Seiji Yamaguchi, Akio Nakata, Teruo Higashino |
A real time budgeting method for module-level-pipelined bus based system using bus scenarios. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 37-42, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
bus based systems, cycle budgeting, real-time systems, pipelined processing, multimedia processing |
39 | Shi-Zheng Eric Lin, Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai |
Optimal time borrowing analysis and timing budgeting optimization for latch-based designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 7(1), pp. 217-230, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
latch-based design, time borrowing, timing budgeting, static timing analysis, Cycle stealing |
39 | Dhritiman Banerjee, Biswanath Mukherjee |
Wavelength-routed optical networks: linear formulation, resource budgeting tradeoffs, and a reconfiguration study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 8(5), pp. 598-607, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
resource budgeting, optimization, reconfigurability, optical network, integer linear program, WDM, lightpath, wavelength routing, virtual topology |
35 | Beth Rugg, Lisa Efing |
A centralized approach to managing a large student staff. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGUCCS ![In: Proceedings of the ACM SIGUCCS Fall Conference on User Services 2009, St. Louis, Missouri, USA, October 11-14, 2009, pp. 143-150, 2009, ACM, 978-1-60558-477-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
student employment, scheduling, training, management, centralization, recruitment, supervision, budgeting |
35 | Elizabeth A. Kemp, Damian Pacitto, Elisabeth G. Todd, David I. Gray |
The role of functional prototyping in model validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCNZ ![In: Proceedings of the 1996 Information Systems Conference of New Zealand, ISCNZ '96, October 30-31, 1996, pp. 182, 1996, IEEE Computer Society, 0-8186-7710-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
functional prototyping, KADS framework, object-oriented knowledge representation model, summer-autumn management, feed budgeting, production level analysis, knowledge acquisition, problem solving, model validation, design decisions, system implementation, domain expertise |
34 | David Valle-Cruz, Vanessa Fernandez-Cortez, J. Ramón Gil-García |
From E-budgeting to smart budgeting: Exploring the potential of artificial intelligence in government decision-making for resource allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Gov. Inf. Q. ![In: Gov. Inf. Q. 39(2), pp. 101644, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
34 | Robert Zepic, Marcus M. Dapp, Helmut Krcmar |
Participatory Budgeting without Participants: Identifying Barriers on Accessibility and Usage of German Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CeDEM ![In: 2017 Conference for E-Democracy and Open Government, CeDEM 2017, Krems, Austria, May 17-19, 2017, pp. 26-35, 2017, IEEE Computer Society, 978-1-5090-6718-3. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
34 | Garry Lohan |
A Brief History of Budgeting: Reflections on Beyond Budgeting, Its Link to Performance Management and Its Appropriateness for Software Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LESS ![In: Lean Enterprise Software and Systems - 4th International Conference, LESS 2013, Galway, Ireland, December 1-4, 2013, Proceedings, pp. 81-105, 2013, Springer, 978-3-642-44929-1. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
34 | Wipawee Uppatumwichian |
The relationship between ERP systems and budgeting: Uncovering the limited ERP system impact on budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AMCIS ![In: 18th Americas Conference on Information Systems, AMCIS 2012, Seattle, Washington, USA, August 9-11, 2012, 2012, Association for Information Systems, 978-0-615-66346-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP BibTeX RDF |
|
31 | Shahin Golshan, Eli Bozorgzadeh, Benjamin Carrión Schäfer, Kazutoshi Wakabayashi, Houman Homayoun, Alexander V. Veidenbaum |
Exploiting power budgeting in thermal-aware dynamic placement for reconfigurable systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 49-54, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
computer aided design, placement, dynamic reconfiguration, temperature, reconfigurable systems |
31 | Ehsan Pakbaznia, Massoud Pedram |
Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 385-390, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Wei Jiang, Zhiru Zhang, Miodrag Potkonjak, Jason Cong |
Scheduling with integer time budgeting for low-power optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 22-27, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Susan Coleman Morse, Augusto Kitover Lobo Alves |
The NestEgg: a budgeting tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2008 Conference on Human Factors in Computing Systems, CHI 2008, Florence, Italy, April 5-10, 2008, pp. 3891-3896, 2008, ACM, 978-1-60558-012-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
financial management, spending, budget, homelessness |
31 | Hang Li, Jeffrey Fan, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong |
Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(11), pp. 2402-2412, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Lawrence A. Gordon, Martin P. Loeb |
Budgeting process for information security expenditures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 49(1), pp. 121-125, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Soheil Ghiasi, Po-Kuan Huang |
Probabilistic Delay Budgeting for Soft Realtime Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 141-146, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Soheil Ghiasi |
Efficient Implementation Selection via Time Budgeting Complexity Analysis and Leakage Optimization Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 23rd International Conference on Computer Design (ICCD 2005), 2-5 October 2005, San Jose, CA, USA, pp. 127-129, 2005, IEEE Computer Society, 0-7695-2451-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Jinwu Gao, Jianhua Zhao, Xiaoyu Ji |
Fuzzy Chance-Constrained Programming for Capital Budgeting Problem with Fuzzy Decisions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSKD (1) ![In: Fuzzy Systems and Knowledge Discovery, Second International Conference, FSKD 2005, Changsha, China, August 27-29, 2005, Proceedings, Part I, pp. 304-311, 2005, Springer, 3-540-28312-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Hang Li, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong |
Partitioning-based approach to fast on-chip decap budgeting and minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 170-175, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
on-chi, power/grid networks, simulation, optimization, IR drop, decoupling capacitor |
31 | Vishal Khandelwal, Azadeh Davoodi, Ankur Srivastava 0001 |
Efficient statistical timing analysis through error budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 473-477, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Kai Wang 0011, Malgorzata Marek-Sadowska |
Potential Slack Budgeting with Clock Skew Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 22nd IEEE International Conference on Computer Design: VLSI in Computers & Processors (ICCD 2004), 11-13 October 2004, San Jose, CA, USA, Proceedings, pp. 265-271, 2004, IEEE Computer Society, 0-7695-2231-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Mario Straßberger |
Continuous Market Risk Budgeting in Financial Institutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GfKl ![In: Classification - the Ubiquitous Challenge, Proceedings of the 28th Annual Conference of the Gesellschaft für Klassifikation e.V., University of Dortmund, March 9-11, 2004, pp. 466-473, 2004, Springer, 978-3-540-25677-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Josef Makolm |
Process Reengineering on Base of Law . The New Austrian States Budgeting and Bookkeeping System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EGOV ![In: Electronic Government, Second International Conference, EGOV 2003, Prague, Czech Republic, September 1-5, 2003, Proceedings, pp. 325-328, 2003, Springer, 3-540-40845-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Andrew C. Ling, Jianwen Zhu, Stephen Dean Brown |
Delay driven AIG restructuring using slack budget management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 163-166, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
aig, budget management, logic synthesis, network flow |
27 | Joseph C. Thomas, Steven W. Baker |
Establishing an Agile Portfolio to Align IT Investments with Business Needs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AGILE ![In: Agile Development Conference, AGILE 2008, Toronto, Canada, 4-8 August 2008, pp. 252-258, 2008, IEEE Computer Society, 978-0-7695-3321-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Soheil Ghiasi, Po-Kuan Huang, Roozbeh Jafari |
Probabilistic delay budget assignment for synthesis of soft real-time applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(8), pp. 843-853, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Ankur Srivastava 0001, Seda Ogrenci Memik, Bo-Kyung Choi, Majid Sarrafzadeh |
On effective slack management in postscheduling phase. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(4), pp. 645-653, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Jan vom Brocke, Maik A. Lindner |
Service portfolio measurement: a framework for evaluating the financial consequences of out-tasking decisions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSOC ![In: Service-Oriented Computing - ICSOC 2004, Second International Conference, New York, NY, USA, November 15-19, 2004, Proceedings, pp. 203-211, 2004, ACM, 1-58113-871-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
IT-controlling, portfolio measurement, service-oriented business applications, service-oriented architectures, return on investment, portfolio management, total cost of ownership |
27 | Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh |
Timing-driven placement using design hierarchy guided constraint generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 177-180, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Neeraj Kaul |
Design planning trends and challenges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2010 International Symposium on Physical Design, ISPD 2010, San Francisco, California, USA, March 14-17, 2010, pp. 5, 2010, ACM, 978-1-60558-920-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
clock planning, feedthrough generation, macro placement, power domains, power planning, time budgeting, voltage areas, prototyping, partitioning, floorplanning, feasibility, hierarchical design, constraints generation, pin assignment |
22 | Scott Chamberlain |
Winning all around, providing a help desk service point at the learning commons. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGUCCS ![In: Proceedings of the ACM SIGUCCS Fall Conference on User Services 2009, St. Louis, Missouri, USA, October 11-14, 2009, pp. 151-156, 2009, ACM, 978-1-60558-477-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
scheduling, management, help desk, customer service, supervision, budgeting |
22 | David Ríos Insua, Gregory E. Kersten, Jesus Rios, Carlos Grima |
Towards decision support for participatory democracy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Syst. E Bus. Manag. ![In: Inf. Syst. E Bus. Manag. 6(2), pp. 161-191, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Negotiation analysis, Participatory budgeting, Participatory democracy, Decision support, Electronic democracy |
22 | Love Singhal, Sejong Oh, Eli Bozorgzadeh |
Yield maximization for system-level task assignment and configuration selection of configurable multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 249-254, 2008, ACM, 978-1-60558-470-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
configuration selection, delay budgeting, process variation, task allocation, within-die variation, timing yield |
22 | Yao-Wen Hsu, Bart M. Lambrecht |
Preemptive patenting under uncertainty and asymmetric information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 151(1), pp. 5-28, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Capital budgeting, Asymmetric information, Market entry, Learning, Preemption, Real options |
22 | Ming-Cheng Wu, Simon H. Yen, Kuo-Ren Lou |
Pricing real abandonment options on several R&D investment projects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 11(12), pp. 1123-1129, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Real abandonment options, Managerial flexibility, Capital budgeting, Research and development |
22 | Soheil Ghiasi, Elaheh Bozorgzadeh, Karlene Nguyen, Majid Sarrafzadeh |
Efficient Timing Budget Management for Accuracy Improvement in a Collaborative Object Tracking System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 42(1), pp. 43-55, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
accuracy-latency tradeoff, delay budgeting, object tracking, collaborative applications |
22 | Mat Felthousen |
Combining audio/visual and computing support. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGUCCS ![In: Proceedings of the 33rd Annual ACM SIGUCCS Conference on User Services 2005, Monterey, CA, USA, November 6-9, 2005, pp. 75-82, 2005, ACM, 1-59593-200-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
AV equipment, design, reliability, standardization, computer labs, budgeting |
17 | Victoria Palacin, Samantha McDonald, Pablo Aragón, Matti Nelimarkka |
Configurations of Digital Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Hum. Interact. ![In: ACM Trans. Comput. Hum. Interact. 31(2), pp. 28:1-28:28, April 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Lodewijk Gelauff, Ashish Goel |
Rank, Pack, or Approve: Voting Methods in Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2401.12423, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Martin Durand, Fanny Pascual |
Detecting and taking Project Interactions into account in Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.19194, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Majid Beikverdi, Nasim Ghanbar Tehrani, Kamran Shahanaghi |
A Bi-level model for district-fairness participatory budgeting: Decomposition methods and application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Eur. J. Oper. Res. ![In: Eur. J. Oper. Res. 314(1), pp. 340-362, April 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Haris Aziz 0001, Xinhang Lu, Mashbat Suzuki, Jeremy Vollen, Toby Walsh |
Fair Lotteries for Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAAI ![In: Thirty-Eighth AAAI Conference on Artificial Intelligence, AAAI 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, IAAI 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, EAAI 2014, February 20-27, 2024, Vancouver, Canada, pp. 9469-9476, 2024, AAAI Press. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Chien-Yu Lu, Bo-Jr Huang, Min-Chieh Chen, Ollie Tsai, Alfred Tsai, Eric Jia-Wei Fang, Yuju Cho, Harry H. Chen, Ping Kao, Ericbill Wang, Hugh Mair, Shih-Arn Hwang |
14.4 A Fully Digital Current Sensor Offering Per-Core Runtime Power for System Budgeting in a 4nm-Plus Octa-Core CPU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid-State Circuits Conference, ISSCC 2024, San Francisco, CA, USA, February 18-22, 2024, pp. 260-262, 2024, IEEE, 979-8-3503-0620-0. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Magdalena Roszczynska-Kurasinska, Agnieszka Rychwalska, Nina Wróblewska |
The problem of low participation in participatory budgeting from the perspective of adoption of innovation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 57th Hawaii International Conference on System Sciences, HICSS 2024, Hilton Hawaiian Village Waikiki Beach Resort, Hawaii, USA, January 3-6, 2024, pp. 1953-1962, 2024, ScholarSpace, 978-0-9981331-7-1. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP BibTeX RDF |
|
17 | Gogulapati Sreedurga |
Hybrid Participatory Budgeting: Divisible, Indivisible, and Beyond. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, AAMAS 2024, Auckland, New Zealand, May 6-10, 2024, pp. 2480-2482, 2024, ACM. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP BibTeX RDF |
|
17 | Marc Serramia, Maite López-Sánchez, Juan A. Rodríguez-Aguilar, Stefano Moretti 0001 |
Value Alignment in Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, AAMAS 2024, Auckland, New Zealand, May 6-10, 2024, pp. 1692-1700, 2024, ACM. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP BibTeX RDF |
|
17 | Piotr Faliszewski, Lukasz Janeczko, Andrzej Kaczmarczyk 0001, Grzegorz Lisowski, Piotr Skowron 0001, Stanislaw Szufa |
Strategic Cost Selection in Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, AAMAS 2024, Auckland, New Zealand, May 6-10, 2024, pp. 2255-2257, 2024, ACM. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP BibTeX RDF |
|
17 | Yilin Ma, Yudong Wang, Weizhong Wang, Chong Zhang |
Prediction-based mean-variance portfolios with risk budgeting based on neural networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Expert Syst. Appl. ![In: Expert Syst. Appl. 230, pp. 120638, November 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Zachariah Sutton, Peter Willett 0001, Stefano Maranò 0001, Yaakov Bar-Shalom |
Identity-Aware Decision Network Communication Budgeting: Is Who as Important as What? ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Aerosp. Electron. Syst. ![In: IEEE Trans. Aerosp. Electron. Syst. 59(5), pp. 5203-5217, October 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Xin Li 0042, Zhi Li, Yaqi Ju, Xiaofei Zhang, Rongyao Wang, Wei Zhou 0020 |
COP: A Combinational Optimization Power Budgeting Method for Manycore Systems in Dark Silicon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 72(5), pp. 1356-1370, May 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Avinash Bhardwaj, Manjesh K. Hanawal, Purushottam Parthasarathy |
Almost exact risk budgeting with return forecasts for portfolio allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Oper. Res. Lett. ![In: Oper. Res. Lett. 51(2), pp. 171-175, March 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Srijoni Majumdar, Evangelos Pournaras |
Consensus-based Participatory Budgeting for Legitimacy: Decision Support via Multi-agent Reinforcement Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2307.12915, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Gogulapati Sreedurga |
Participatory Budgeting With Multiple Degrees of Projects And Ranged Approval Votes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2305.10972, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Simon Rey, Jan Maly 0001 |
The (Computational) Social Choice Take on Indivisible Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2303.00621, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Niclas Boehmer, Piotr Faliszewski, Lukasz Janeczko, Andrzej Kaczmarczyk 0001 |
Robustness of Participatory Budgeting Outcomes: Complexity and Experiments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2305.08125, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Sonja Kraiczy, Edith Elkind |
An Adaptive and Verifiably Proportional Method for Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2310.10215, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Mohak Goyal, Sahasrajit Sarmasarkar, Ashish Goel |
A Mechanism for Participatory Budgeting With Funding Constraints and Project Interactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2305.11296, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Roy Fairstein, Gerdus Benadè, Kobi Gal |
Participatory Budgeting Design for the Real World. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2302.13316, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Yao Liu 0009, Pratik Chaudhari, Rasool Fakoor |
Budgeting Counterfactual for Offline RL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2307.06328, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Joshua Chu-Yue Yang, Carina Ines Hausladen, Dominik Peters, Evangelos Pournaras, Regula Hänggli Fricker, Dirk Helbing |
Designing Digital Voting Systems for Citizens: Achieving Fairness and Legitimacy in Digital Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2310.03501, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Jonathan Wagner, Reshef Meir |
Strategy-proof Budgeting via a VCG-like Mechanism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2303.06923, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Piotr Faliszewski, Jaroslaw Flis, Dominik Peters, Grzegorz Pierczynski, Piotr Skowron 0001, Dariusz Stolicki, Stanislaw Szufa, Nimrod Talmon |
Participatory Budgeting: Data, Tools, and Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2305.11035, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Niclas Boehmer, Piotr Faliszewski, Lukasz Janeczko, Dominik Peters, Grzegorz Pierczynski, Simon Schierreich, Piotr Skowron 0001, Stanislaw Szufa |
Evaluation of Project Performance in Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2312.14723, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Simon Rey, Ulle Endriss |
Epistemic Selection of Costly Alternatives: The Case of Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2304.10940, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Mohak Goyal, Sukolsak Sakshuwong, Sahasrajit Sarmasarkar, Ashish Goel |
Low Sample Complexity Participatory Budgeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2302.05810, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 539 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ >>] |
|