Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
134 | François Dupont, Marc Pierrot-Deseilligny, Michel Gondran |
DTM Extraction from Topographic Maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Fifth International Conference on Document Analysis and Recognition, ICDAR 1999, 20-22 September, 1999, Bangalore, India, pp. 475-478, 1999, IEEE Computer Society, 0-7695-0318-7. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
108 | Yi-Ping Hung, Chu-Song Chen, Kuan-Chung Hung, Yong-Sheng Chen, Chiou-Shann Fuh |
Multipass hierarchical stereo matching for generation of digital terrain models from aerial images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mach. Vis. Appl. ![In: Mach. Vis. Appl. 10(5/6), pp. 280-291, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
DTM generation, Interactive editing, Computer vision, Surface reconstruction, Stereo matching |
106 | Chih-Jen Chang, Arne A. Nilsson |
Fair Efficient Call Admission Control Policies for Heterogeneous Traffic Streams in a Packet Routing Server Using the DTM Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NETWORKING ![In: NETWORKING 2000, Broadband Communications, High Performance Networking, and Performance of Communication Networks, IFIP-TC6 / European Commission International Conference, Paris, France, May 14-19, 2000, Proceedings, pp. 620-631, 2000, Springer, 3-540-67506-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
98 | Jayanth Srinivasan, Sarita V. Adve |
Predictive dynamic thermal management for multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 17th Annual International Conference on Supercomputing, ICS 2003, San Francisco, CA, USA, June 23-26, 2003, pp. 109-120, 2003, ACM, 1-58113-733-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
low power, thermal management, adaptive architectures |
94 | Fei Wei, Huazhong Yang |
Directed transmission method, a fully asynchronous approach to solve sparse linear systems in parallel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2008: Proceedings of the 20th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Munich, Germany, June 14-16, 2008, pp. 365, 2008, ACM, 978-1-59593-973-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
convergence theory, directed transmission method (dtm), virtual transmission method (vtm), distributed algorithm, sparse linear system, asynchronous algorithm |
92 | Cláudia J. Barenco Abbas, L. Javier García-Villalba |
Why Not RSVP over DTM? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWE ![In: Web Engineering, International Conference, ICWE 2003, Oviedo, Spain, July 14-18, 2003, Proceedings, pp. 353-363, 2003, Springer, 3-540-40522-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
88 | Nirved Pandey, G. K. Sharma 0001 |
Startup comparison for message passing libraries with DTM on linux clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 39(1), pp. 59-72, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Distributed Task Machine (DTM), Distributed Performance Index (DPI), Relative Distributed Performance Index (RDPI), MPI-Chameleon MPICH, High Performance Cluster (HPC), Message Passing Interface (MPI), Parallel Virtual Machine (PVM) |
70 | Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha |
System-Level Dynamic Thermal Management for High-Performance Microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(1), pp. 96-108, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
70 | Zhiqiang Ma, Anthony Watson, Wanwu Guo |
Application of MCDF Operations in Digital Terrain Model Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (4) ![In: Computational Science and Its Applications - ICCSA 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part IV, pp. 471-478, 2004, Springer, 3-540-22060-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
67 | Ronen Lerner, Ehud Rivlin, Héctor Rotstein |
Pose and Motion Recovery from Feature Correspondences and a Digital Terrain Map. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 28(9), pp. 1404-1417, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
structure from motion, Pose estimation, vision-based navigation, DTM |
67 | Henrik Abrahamsson, Olof Hagsand, Ian Marsh |
TCP over High Speed Variable Capacity Links: A Simulation Study for Bandwidth Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Protocols for High-Speed Networks ![In: Protocols for High Speed Networks, 7th IFIP/IEEE International Workshop, PfHSN 2002, Berlin, Germany, April 22-24, 2002, Proceedings, pp. 117-129, 2002, Springer, 3-540-43658-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
TCP, rate control, rate adaption, DTM |
56 | Sangyoung Park, Jian-Jia Chen, Donghwa Shin, Younghyun Kim 0001, Chia-Lin Yang, Naehyuck Chang |
Dynamic thermal management for networked embedded systems under harsh ambient temperature variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 289-294, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
electronic control unit, embedded system, thermal management, automotive electronics |
56 | Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Eugene Gorbatov, Howard David, Zhao Zhang 0010 |
Software thermal management of dram memory for multicore systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 2008 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS 2008, Annapolis, MD, USA, June 2-6, 2008, pp. 337-348, 2008, ACM, 978-1-60558-005-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
thermal management, DRAM memories |
56 | Amit Kumar 0002, Li Shang, Li-Shiuan Peh, Niraj K. Jha |
HybDTM: a coordinated hardware-software approach for dynamic thermal management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 548-553, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
hybrid hardware-software management, thermal model, dynamic thermal management |
53 | Saeed Behzadi, Ali A. Alesheikh, Mohammad Reza Malek |
A Novel Tree Graph Data Structure for Point Datasets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (2) ![In: Computational Science and Its Applications - ICCSA 2009, International Conference, Seoul, Korea, June 29-July 2, 2009, Proceedings, Part II, pp. 572-579, 2009, Springer, 978-3-642-02456-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Data Structure, GIS, Grid, TIN, DTM |
50 | Yi Wei |
An Improved Method for Real-Time 3D Construction of DTM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FIRA ![In: Advances in Robotics, FIRA RoboWorld Congress 2009, Incheon, Korea, August 16-20, 2009. Proceedings, pp. 272-281, 2009, Springer, 978-3-642-03982-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Real-Time, 3D Model, Terrain, Delaunay |
50 | Mohammad A. Rajabi, J. A. Rod Blais |
Optimization of DTM Interpolation Using SFS with Single Satellite Imagery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 28(2), pp. 193-213, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
densification, irradiance model, shape index, classification, parallel processing, interpolation, remote sensing, shape from shading, digital terrain model, albedo |
46 | Vu Van Tan, Dae-Seung Yoo, Myeong-Jae Yi |
Device Integration Approach to OPC UA-Based Process Automation Systems with FDT/DTM and EDDL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (2) ![In: Emerging Intelligent Computing Technology and Applications. With Aspects of Artificial Intelligence, 5th International Conference on Intelligent Computing, ICIC 2009, Ulsan, South Korea, September 16-19, 2009, Proceedings, pp. 1001-1012, 2009, Springer, 978-3-642-04019-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Device integration, EDDL, FDT/DTM, OPC, Process automation, Automation system, Unified architecture |
45 | Rijun Liao, Chunguang Wang, Fuyu Peng, Wei Liang, Yijun Zhang, Xin Zhang |
DTM-Bearing: A Novel Framework for Speed-Invariant Bearing Fault Diagnosis Based on Diffusion Transformation Model (DTM). ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 12, pp. 8875-8888, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
45 | Gaizhen Yan, Ning Wu, Fen Ge, Hao Xiao, Fang Zhou 0001 |
ArR-DTM: A routing-based DTM for 3D NoCs by adaptive degree regulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 14(9), pp. 20170203, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
45 | Frédéric Rousseaux 0001 |
Detection of systematic error areas on a DTM by comparison with a high resolution LIDAR DTM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IGARSS ![In: 2004 IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2004, Anchorage, Alaska, USA, 20-24 September 2004, pp. 4160-4163, 2004, IEEE, 0-7803-8742-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
42 | Seungil Huh, Stephen E. Fienberg |
Discriminative topic modeling based on manifold learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the 16th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, July 25-28, 2010, pp. 653-662, 2010, ACM, 978-1-4503-0055-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
dimensionality reduction, semi-supervised learning, topic modeling, document classification |
42 | Kenji Masui, Benoit Donnet |
DTS: A Decentralized Tracing System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMA ![In: Traffic Monitoring and Analysis, First International Workshop, TMA 2009, Aachen, Germany, May 11, 2009. Proceedings, pp. 126-134, 2009, Springer, 978-3-642-01644-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
42 | Markus Unger, Thomas Pock, Markus Grabner, Andreas Klaus, Horst Bischof |
A Variational Approach to Semiautomatic Generation of Digital Terrain Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVC (2) ![In: Advances in Visual Computing, 5th International Symposium, ISVC 2009, Las Vegas, NV, USA, November 30 - December 2, 2009, Proceedings, Part II, pp. 1119-1130, 2009, Springer, 978-3-642-10519-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
42 | Wonbok Lee, Kimish Patel, Massoud Pedram |
GOP-Level Dynamic Thermal Management in MPEG-2 Decoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(6), pp. 662-672, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
42 | Jonathan A. Winter, David H. Albonesi |
Addressing thermal nonuniformity in SMT workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 5(1), pp. 4:1-4:28, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
adaptive microarchitectures, dynamic voltage scaling, Simultaneous multithreading, dynamic thermal management, clustered microarchitectures |
42 | Jian Chih Ou, Chang-Hung Lee, Ming-Syan Chen |
Efficient algorithms for incremental Web log mining with dynamic thresholds. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLDB J. ![In: VLDB J. 17(4), pp. 827-845, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Web mining path traversal pattern, Dynamic support threshold |
42 | Nesrine Chehata, Frédéric Bretar |
Terrain modeling from lidar data: Hierarchical K-means filtering and Markovian regularization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the International Conference on Image Processing, ICIP 2008, October 12-15, 2008, San Diego, California, USA, pp. 1900-1903, 2008, IEEE, 978-1-4244-1765-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
42 | Fred Spiessens, Jerry den Hartog, Sandro Etalle |
Know What You Trust. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Aspects in Security and Trust ![In: Formal Aspects in Security and Trust, 5th International Workshop, FAST 2008, Malaga, Spain, October 9-10, 2008, Revised Selected Papers, pp. 129-142, 2008, Springer. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
42 | Hwisung Jung, Peng Rong, Massoud Pedram |
Stochastic modeling of a thermally-managed multi-core system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 728-733, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
uncertainty, stochastic processes, dynamic thermal management |
42 | Inchoon Yeo, Heung Ki Lee, Eun Jung Kim 0001, Ki Hwan Yum |
Effective Dynamic Thermal Management for MPEG-4 decoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 623-628, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
42 | Sudhanva Gurumurthi, Anand Sivasubramaniam |
Thermal issues in disk drive design: Challenges and possible solutions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Storage ![In: ACM Trans. Storage 2(1), pp. 41-73, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
thermal management, technology scaling, Disk drive |
42 | Sudhanva Gurumurthi, Anand Sivasubramaniam, Vivek K. Natarajan |
Disk Drive Roadmap from the Thermal Perspective: A Case for Dynamic Thermal Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 32st International Symposium on Computer Architecture (ISCA 2005), 4-8 June 2005, Madison, Wisconsin, USA, pp. 38-49, 2005, IEEE Computer Society, 978-0-7695-2270-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
42 | Kevin Skadron, Mircea R. Stan, Karthik Sankaranarayanan, Wei Huang 0004, Sivakumar Velusamy, David Tarjan |
Temperature-aware microarchitecture: Modeling and implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 1(1), pp. 94-125, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Dynamic compact thermal models, fetch gating, dynamic voltage scaling, feedback control, dynamic thermal management |
42 | Kevin Skadron |
Hybrid Architectural Dynamic Thermal Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 10-15, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
42 | Kevin Skadron, Tarek F. Abdelzaher, Mircea R. Stan |
Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Eighth International Symposium on High-Performance Computer Architecture (HPCA'02), Boston, Massachusettes, USA, February 2-6, 2002, pp. 17-28, 2002, IEEE Computer Society, 0-7695-1525-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
thermal modeling and management, formal feedback control theory, performance, power, microprocessors |
42 | Mohammad A. Rajabi, J. A. Rod Blais |
Improvement of Digital Terrain Model Interpolation Using SFS Techniques with Single Satellite Imagery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (3) ![In: Computational Science - ICCS 2002, International Conference, Amsterdam, The Netherlands, April 21-24, 2002. Proceedings, Part III, pp. 164-173, 2002, Springer, 3-540-43594-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
42 | Wilson Rosa de Oliveira, Marcílio Carlos Pereira de Souto, Teresa Bernarda Ludermir |
Turing Machines with Finite Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBRN ![In: 7th Brazilian Symposium on Neural Networks (SBRN 2002), 11-14 November 2002, Recife, Brazil, pp. 67-73, 2002, IEEE Computer Society, 0-7695-1709-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
42 | Amarildo T. da Costa, Felipe M. G. França, Eliseu M. Chaves Filho |
The Dynamic Trace Memorization Reuse Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), Philadelphia, Pennsylvania, USA, October 15-19, 2000, pp. 92-99, 2000, IEEE Computer Society, 0-7695-0622-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
42 | Daniel R. Dolk |
Decision Technologies for Management Track - Introduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 33rd Annual Hawaii International Conference on System Sciences (HICSS-33), 4-7 January, 2000, Maui, Hawaii, USA, 2000, IEEE Computer Society, 0-7695-0493-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
39 | Yufu Zhang, Ankur Srivastava 0001 |
Accurate temperature estimation using noisy thermal sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 472-477, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
on-chip sensor, estimation, multicore, temperature, DTM |
39 | Gianfranco Forlani, Carla Nardinocchi, Marco Scaioni, Primo Zingaretti |
Complete classification of raw LIDAR data and 3D reconstruction of buildings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Pattern Anal. Appl. ![In: Pattern Anal. Appl. 8(4), pp. 357-374, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Range images (LIDAR), Building extraction, Classification, Segmentation, 3D city models, DTM |
39 | Ravishankar Rao, Sarma B. K. Vrudhula, Chaitali Chakrabarti, Naehyuck Chang |
An optimal analytical solution for processor speed control with thermal constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006, pp. 292-297, 2006, ACM, 1-59593-462-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
optimal control, temperature, DVFS, thermal management, DTM |
38 | Ulrich Lenk, Christian Heipke |
The Radial Topology Algorithm - A New Approach for Deriving 2.5D GIS Data Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GeoInformatica ![In: GeoInformatica 10(4), pp. 447-468, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
multi-dimensional data modelling, DEM/DTM, performance, algorithms, GIS, triangulations |
38 | Yongkang Zhu, David H. Albonesi |
Synergistic temperature and energy management in GALS processor architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006, pp. 55-60, 2006, ACM, 1-59593-462-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
dynamic temperature management (DTM), dynamic voltage scaling (DVS) |
36 | |
Digital Terrain Model (DTM). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Database Systems ![In: Encyclopedia of Database Systems, pp. 835, 2009, Springer US, 978-0-387-35544-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
36 | Ronen Lerner, Ehud Rivlin, Héctor Rotstein |
Pose estimation using feature correspondences and dtm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIP ![In: Proceedings of the 2004 International Conference on Image Processing, ICIP 2004, Singapore, October 24-27, 2004, pp. 2603-2606, 2004, IEEE, 0-7803-8554-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Ramkumar Jayaseelan, Tulika Mitra |
Dynamic thermal management via architectural adaptation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 484-489, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
architecture adaptation, dynamic thermal management |
28 | Christo Angelov, Krzysztof Sierszecki, Feng Zhou |
A Software Framework for Hard Real-Time Distributed Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO-SEAA ![In: 34th Euromicro Conference on Software Engineering and Advanced Applications, SEAA 2008, September 3-5, 2008, Parma, Italy, pp. 385-392, 2008, IEEE Computer Society, 978-0-7695-3276-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Oleg Panfilov, Antonio Turgeon, Ron Hickling, Lloyd Linder |
Direct Conversion Transceivers as a Promising Solution for Building Future Ad-Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NEW2AN ![In: Next Generation Teletraffic and Wired/Wireless Advanced Networking, 7th International Conference, NEW2AN 2007, St. Petersburg, Russia, September 10-14, 2007, Proceedings, pp. 294-305, 2007, Springer, 978-3-540-74832-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Direct RF conversion, frequency agility, protocol independence, opportunistic networks, network connectivity, dynamic spectrum allocation |
28 | Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Howard David, Zhao Zhang 0010 |
Thermal modeling and management of DRAM memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 312-322, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
thermal management, thermal modeling, DRAM memories |
28 | Pu Liu, Hang Li, Lingling Jin, Wei Wu 0024, Sheldon X.-D. Tan, Jun Yang 0002 |
Fast Thermal Simulation for Runtime Temperature Tracking and Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12), pp. 2882-2893, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Ronen Lerner, Oleg Kupervasser, Ehud Rivlin |
Pose and Motion from Omnidirectional Optical Flow and a Digital Terrain Map. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 2251-2256, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Christo Angelov, Xu Ke, Krzysztof Sierszecki |
A Component-Based Framework for Distributed Control Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO-SEAA ![In: 32nd EUROMICRO Conference on Software Engineering and Advanced Applications (EUROMICRO-SEAA 2006), August 29 - September 1, 2006, Cavtat/Dubrovnik, Croatia, pp. 20-27, 2006, IEEE Computer Society, 0-7695-2594-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Christo Angelov, Jesper Berthing |
Distributed Timed Multitasking - A Model of Computation for Hard Real-Time Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIPES ![In: From Model-Driven Design to Resource Management for Distributed Embedded Systems, IFIP TC 10 Working Conference on Distributed and Parallel Embedded Systems (DIPES 2006), October 11-13, 2006, Braga, Portugal, pp. 145-154, 2006, Springer, 978-0-387-39361-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
hard real-time distributed systems, actor-based architecture, signal-based communication, timed multitasking |
28 | Zhijian Lu, John C. Lach, Mircea R. Stan, Kevin Skadron |
Improved Thermal Management with Reliability Banking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(6), pp. 40-49, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Dynamic thermal/reliability management, Analytical and simulation techniques, Modeling, Performability, Electromigration |
28 | Sangeeta Bhattacharya, Guoliang Xing, Chenyang Lu 0001, Gruia-Catalin Roman, Octav Chipara, Brandon Harris |
Dynamic wake-up and topology maintenance protocols with spatiotemporal guarantees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPSN ![In: Proceedings of the Fourth International Symposium on Information Processing in Sensor Networks, IPSN 2005, April 25-27, 2005, UCLA, Los Angeles, California, USA, pp. 28-34, 2005, IEEE, 0-7803-9202-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Bruno Del-Fabbro, David Laiymani, Jean-Marc Nicod, Laurent Philippe 0001 |
Data Management in Grid Applications Providers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFMA ![In: 1st International Conference on Distributed Frameworks for Multimedia Applications (DFMA 2005), 6-9 February 2005, Besançon, France, pp. 315-322, 2005, IEEE Computer Society, 0-7695-2273-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Guangmin Hu, Rocky K. C. Chang |
Forwarding State Scalability-Aware Multicast Routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCC ![In: Proceedings of the 10th IEEE Symposium on Computers and Communications (ISCC 2005), 27-30 June 2005, Murcia, Cartagena, Spain, pp. 395-400, 2005, IEEE Computer Society, 0-7695-2373-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Ronen Lerner, Ehud Rivlin, Héctor Rotstein |
Error Analysis for a Navigation Algorithm Based on Optical-Flow and a Digital Terrain Map. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR (1) ![In: 2004 IEEE Computer Society Conference on Computer Vision and Pattern Recognition (CVPR 2004), with CD-ROM, 27 June - 2 July 2004, Washington, DC, USA, pp. 604-610, 2004, IEEE Computer Society, 0-7695-2158-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Kevin Skadron, Mircea R. Stan, Wei Huang 0004, Sivakumar Velusamy, Karthik Sankaranarayanan, David Tarjan |
Temperature-Aware Microarchitecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 30th International Symposium on Computer Architecture (ISCA 2003), 9-11 June 2003, San Diego, California, USA, pp. 2-13, 2003, IEEE Computer Society, 0-7695-1945-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
28 | Daniel R. Dolk |
Decision Technologies for Management Track - Introduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 34th Annual Hawaii International Conference on System Sciences (HICSS-34), January 3-6, 2001, Maui, Hawaii, USA, 2001, IEEE Computer Society, 0-7695-0981-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
28 | Benoît Garbinato, Pascal Felber, Rachid Guerraoui |
Protocol Classes for Designing Reliable Distributed Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECOOP ![In: ECOOP'96 - Object-Oriented Programming, 10th European Conference, Linz, Austria, July 8-12, 1996, Proceedings, pp. 316-343, 1996, Springer, 3-540-61439-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
28 | Rachid Guerraoui, André Schiper |
A Generic Multicast Primitive to Support Transactions on Replicated Objects in Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTDCS ![In: 5th IEEE Workshop on Future Trends of Distributed Computing Systems (FTDCS 1995), August 28-30, 1995, Chenju, Korea, Proceedings, pp. 334-342, 1995, IEEE Computer Society, 0-8186-7125-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
total order multicast, fault-tolerance, distributed computing, replication, asynchronous system, failure detector, atomic commitment |
28 | Weiwei Mao, Michael D. Ciletti |
DYTEST: a self-learning algorithm using dynamic testability measures to accelerate test generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9(8), pp. 893-898, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
28 | Solomampionona Ranaivoson |
Nontrivial Lower Bounds for some NP-Problems on Directed Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSL ![In: Computer Science Logic, 4th Workshop, CSL '90, Heidelberg, Germany, October 1-5, 1990, Proceedings, pp. 318-339, 1990, Springer, 3-540-54487-9. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
28 | Akira Ito, Katsushi Inoue, Itsuo Takanami |
The Simulation of Two-Dimensional One-Marker Automata by Three-Way Turing Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMYCS ![In: Machines, Languages, and Complexity, 5th International Meeting of Young Computer Scientists, Smolenice, Czechoslovakia, November 14-18, 1988, Proceedings, pp. 92-101, 1988, Springer, 3-540-51516-X. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
25 | Aviad Cohen 0001, Lev Finkelstein, Avi Mendelson, Ronny Ronen, Dmitry Rudoy |
On Estimating Optimal Performance of CPU Dynamic Thermal Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 2, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
DVS, optimal control, DTM |
24 | Omer Khan, Sandip Kundu |
Predictive Thermal Management for Chip Multiprocessors Using Co-designed Virtual Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Fourth International Conference, HiPEAC 2009, Paphos, Cyprus, January 25-28, 2009. Proceedings, pp. 293-307, 2009, Springer, 978-3-540-92989-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Dynamic Thermal Management (DTM), Virtual Thermal Manager (VTM), Dynamic Voltage and Frequency Scaling (DVFS) |
22 | Haruki Oshio, Keiichiro Yashima, Masashi Matsuoka |
Generating DTM From DSM Using a Conditional GAN in Built-Up Areas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Geosci. Remote. Sens. Lett. ![In: IEEE Geosci. Remote. Sens. Lett. 21, pp. 1-5, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
22 | Hunsoo Song, Jinha Jung |
An Object-Based Ground Filtering of Airborne LiDAR Data for Large-Area DTM Generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 15(16), pp. 4105, August 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Wenjie He, Jianjun Zhu 0001, Juan M. Lopez-Sanchez, Cristina Gómez 0002, Haiqiang Fu, Qinghua Xie |
Forest Height Inversion by Combining Single-Baseline TanDEM-X InSAR Data with External DTM Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 15(23), pp. 5517, December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Wenhao Wu |
A Connected Sets Detection Morphological Filter for Airborne LiDAR DTM Extraction under Urban Area. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCS ![In: 8th International Conference on Computer and Communication Systems, ICCCS 2023, Guangzhou, China, April 21-23, 2023, pp. 469-474, 2023, IEEE, 978-1-6654-5612-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Kacper Jancewicz, Milena Rózycka, Mariusz Szymanowski, Maciej Kryza, Piotr Migon |
Topographic Characteristics of Drainage Divides at the Mountain-Range Scale - A Review of DTM-Based Analytical Tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPRS Int. J. Geo Inf. ![In: ISPRS Int. J. Geo Inf. 11(2), pp. 116, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Débora P. Simões, Henrique C. Oliveira, Marcos V. Y. Garcia |
UAV 3-D Path Planning Based on High-Resolution DSM, DTM, and True Orthomosaic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Geosci. Remote. Sens. Lett. ![In: IEEE Geosci. Remote. Sens. Lett. 19, pp. 1-5, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Bin Li, Guangpeng Fan, Tianzhong Zhao, Zhuo Deng, Yonghui Yu |
Retrieval of DTM under Complex Forest Stand Based on Spaceborne LiDAR Fusion Photon Correction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 14(1), pp. 218, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Fanni Vörös, Benjamin van Wyk de Vries, Marie-Noëlle Guilbaud, Tolga Görüm, Dávid Karátson, Balázs Székely |
DTM-Based Comparative Geomorphometric Analysis of Four Scoria Cone Areas - Suggestions for Additional Approaches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 14(23), pp. 6152, December 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Yu Tao 0014, Siting Xiong, Jan-Peter Muller, Greg Michael, Susan J. Conway, Gerhard Paar, Gabriele Cremonese, Nicolas Thomas 0001 |
Subpixel-Scale Topography Retrieval of Mars Using Single-Image DTM Estimation and Super-Resolution Restoration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 14(2), pp. 257, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Ravikumar Shashikala Varun Kumar, Rangaswamy Naveen Kumar, Ganeshappa Sowmya, Ballajja Chandrappa Prasannakumara, Ioannis E. Sarris |
Exploration of Temperature Distribution through a Longitudinal Rectangular Fin with Linear and Exponential Temperature-Dependent Thermal Conductivity Using DTM-Pade Approximant. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symmetry ![In: Symmetry 14(4), pp. 690, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Hunsoo Song, Jinha Jung |
A new explainable DTM generation algorithm with airborne LIDAR data: grounds are smoothly connected eventually. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2208.11243, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Edyta Hetmaniok, Mariusz Pleszczynski, Yasir Khan |
Solving the Integral Differential Equations with Delayed Argument by Using the DTM Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 22(11), pp. 4124, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | David Corbalán-Navarro, Juan L. Aragón, Joan-Manuel Parcerisa, Antonio González 0001 |
DTM-NUCA: Dynamic Texture Mapping-NUCA for Energy-Efficient Graphics Rendering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 30th Euromicro International Conference on Parallel, Distributed and Network-based Processing, PDP 2022, Valladolid, Spain, March 9-11, 2022, pp. 144-151, 2022, IEEE, 978-1-6654-6958-6. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
22 | Yu Tao 0014, Siting Xiong, Susan J. Conway, Jan-Peter Muller, Anthony Guimpier, Peter Fawdon, Nicolas Thomas 0001, Gabriele Cremonese |
Rapid Single Image-Based DTM Estimation from ExoMars TGO CaSSIS Images Using Generative Adversarial U-Nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 13(15), pp. 2877, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Federica Marotta, Simone Teruggi, Cristiana Achille, Giorgio Paolo Maria Vassena, Francesco Fassi |
Integrated Laser Scanner Techniques to Produce High-Resolution DTM of Vegetated Territory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 13(13), pp. 2504, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Anna Fijalkowska |
Analysis of the Influence of DTM Source Data on the LS Factors of the Soil Water Erosion Model Values with the Use of GIS Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 13(4), pp. 678, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Massimo Conforti, Michele Mercuri, Luigi Borrelli |
Morphological Changes Detection of a Large Earthflow Using Archived Images, LiDAR-Derived DTM, and UAV-Based Remote Sensing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 13(1), pp. 120, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Fanni Vörös, Benjamin van Wyk de Vries, Dávid Karátson, Balázs Székely |
DTM-Based Morphometric Analysis of Scoria Cones of the Chaîne des Puys (France) - The Classic and a New Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 13(10), pp. 1983, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Luka Jurjevic, Mateo Gasparovic, Xinlian Liang, Ivan Balenovic |
Assessment of Close-Range Remote Sensing Methods for DTM Estimation in a Lowland Deciduous Forest. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 13(11), pp. 2063, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Yu Tao 0014, Sylvain Douté, Jan-Peter Muller, Susan J. Conway, Nicolas Thomas 0001, Gabriele Cremonese |
Ultra-High-Resolution 1 m/pixel CaSSIS DTM Using Super-Resolution Restoration and Shape-from-Shading: Demonstration over Oxia Planum on Mars. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 13(11), pp. 2185, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Jiangying Wei, Xiuwu Zhang |
Sino-Japanese Relations from the Perspective of Chinese Mainstream Media During the Pandemic: Based on Word2vec and DTM Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Adv. Comput. Intell. Intell. Informatics ![In: J. Adv. Comput. Intell. Intell. Informatics 25(5), pp. 574-580, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Umut Keten |
GPS/GNSS independent Time Transfer over Telco IP Core Networks using DTM overlay. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPCS ![In: IEEE International Symposium on Precision Clock Synchronization for Measurement, Control, and Communication, ISPCS 2021, Virtual Symposium, October 27-28, 2021, pp. 1-4, 2021, IEEE, 978-1-7281-6484-7. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Maël Smessaert, Ludovic Villard, Laurent Polidori, Sandrine Daniel, Laurent Ferro-Famil |
Improvement Prospects of DTM Reconstruction from P-Band SAR Tomography Over Tropical Dense Forests. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IGARSS ![In: IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2021, Brussels, Belgium, July 11-16, 2021, pp. 1538-1541, 2021, IEEE, 978-1-6654-0369-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Niccolò Dematteis, Fabrizio Troilo, Melchior Grab, Hansruedi Maurer, Daniele Giordan |
Identification of Bedrock Topography-Related Ice Fractures in the Planpincieux Glacier Using Helicopter-Borne GPR and DTM Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IGARSS ![In: IEEE International Geoscience and Remote Sensing Symposium, IGARSS 2021, Brussels, Belgium, July 11-16, 2021, pp. 1043-1046, 2021, IEEE, 978-1-6654-0369-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
22 | Andriani Skopeliti, Leda Stamou, Lysandros Tsoulos, Shachak Pe'eri |
Generalization of Soundings across Scales: From DTM to Harbour and Approach Nautical Charts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPRS Int. J. Geo Inf. ![In: ISPRS Int. J. Geo Inf. 9(11), pp. 693, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Christian Geiß, Patrick Aravena Pelizari, Stefan Bauer, Andreas Schmitt, Hannes Taubenböck |
Automatic Training Set Compilation With Multisource Geodata for DTM Generation From the TanDEM-X DSM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Geosci. Remote. Sens. Lett. ![In: IEEE Geosci. Remote. Sens. Lett. 17(3), pp. 456-460, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Ronald R. E. Vernimmen, Aljosja Hooijer, Maarten Pronk |
New ICESat-2 Satellite LiDAR Data Allow First Global Lowland DTM Suitable for Accurate Coastal Flood Risk Assessment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 12(17), pp. 2827, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Barbara Prus, Magdalena Wilkosz-Mamcarczyk, Tomasz Salata |
Landmarks as Cultural Heritage Assets Affecting the Distribution of Settlements in Rural Areas - An Analysis Based on LIDAR DTM, Digital Photographs, and Historical Maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 12(11), pp. 1778, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Diogo Nepomuceno Cosenza, Luísa Gomes Pereira, Juan Guerra-Hernández, Adrián Pascual, Paula Soares, Margarida Tomé |
Impact of Calibrating Filtering Algorithms on the Quality of LiDAR-Derived DTM and on Forest Attribute Estimation through Area-Based Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 12(6), pp. 918, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Surya Kanta Mondal, Dulal Pal |
Mathematical analysis for Brownian motion of nonlinear thermal bioconvective stagnation point flow in a nanofluid using DTM and RKF method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Des. Eng. ![In: J. Comput. Des. Eng. 7(3), pp. 294-307, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
22 | Abderrahim Nemmaoui, Fernando J. Aguilar, Manuel A. Aguilar, Rongjun Qin |
DSM and DTM generation from VHR satellite stereo imagery over plastic covered greenhouse areas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Electron. Agric. ![In: Comput. Electron. Agric. 164, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|