The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ElGamel with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001-2003 (15) 2004-2009 (15) 2010-2020 (13)
Publication types (Num. hits)
article(12) inproceedings(31)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18 occurrences of 16 keywords

Results
Found 46 publication records. Showing 43 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
36Zhi Li, John Higgins, Mark J. Clement Performance of Finite Field Arithmetic in an Elliptic Curve Cryptosystem. Search on Bibsonomy MASCOTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ElGamel, Encryption, Elliptic Curve, normal basis, polynomial basis
34Mohamed Galal Hafez Rady, Mohamed Shaheen Elgamel, Osama Mohamed Badawy 0001 A multi agent broker framework and decision support for enhanced cloud computing discovery. Search on Bibsonomy ACIT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
34Mohammed Hamed Al-Amin, Mohamed Shaheen Elgamel, Ayman Abdel-Hamid Secure Data Management in P2P Social Networks Using Access Tokens. Search on Bibsonomy IWCMC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
34Mohamed Galal Hafez, Mohamed Shaheen Elgamel Agent-Based Cloud Computing: A Survey. Search on Bibsonomy FiCloud The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
34Mohamed Shaheen Elgamel, Abdulhalim Dandoush A modified Manhattan distance with application for localization algorithms in ad-hoc WSNs. Search on Bibsonomy Ad Hoc Networks The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
34Abdulhalim Dandoush, Mohamed Shaheen Elgamel Analytical Model for Service Response Time in Distributed Systems. Search on Bibsonomy ICCTA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
34Sherif A. Elgamel, John J. Soraghan Using EMD-FrFT Filtering to Mitigate Very High Power Interference in Chirp Tracking Radars. Search on Bibsonomy IEEE Signal Process. Lett. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Sherif A. Elgamel, John J. Soraghan Mitigate high power interference noise in chirp radar systems using EMD-FrFT filtering. Search on Bibsonomy DSP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Zaher Merhi, Mohamed A. Elgamel, Rafic A. Ayoubi, Magdy A. Bayoumi TALS: Trigonometry-based Ad-hoc Localization System for wireless sensor networks. Search on Bibsonomy IWCMC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Zaher Merhi, Mohamed A. Elgamel, Magdy A. Bayoumi EVA-MAC: An event-based adaptive medium access control for wireless sensor networks. Search on Bibsonomy SiPS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
34Xiaodong Zhang 0008, Mohamed A. Elgamel, Magdy A. Bayoumi Gaussian pulse approximation using standard CMOS and its application for sub-GHz UWB impulse radio. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
34Nan Wang, Azeez Sanusi, Peiyi Zhao, Mohamed A. Elgamel, Magdy A. Bayoumi PMCNOC: A Pipelining Multi-channel Central Caching Network-on-chip Communication Architecture Design. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
34Sherif A. Elgamel, John J. Soraghan A new Fractional Fourier Transform based monopulse tracking radar processor. Search on Bibsonomy ICASSP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
34Yasser Ismail, Mohsen Shaaban, Jason McNeely, Mohamed A. Elgamel, Magdy A. Bayoumi An efficient area manipulation architecture for frequency domain encoding process. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
34Zaher Merhi, Mohamed A. Elgamel, Magdy A. Bayoumi A Lightweight Collaborative Fault Tolerant Target Localization System for Wireless Sensor Networks. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Yasser Ismail, Mohamed A. Elgamel, Magdy A. Bayoumi Fast Variable Padding Motion Estimation Using Smart Zero Motion Prejudgment Technique for Pixel and Frequency Domains. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Zaher Merhi, Mohamed A. Elgamel, Magdy A. Bayoumi EB-MAC: An Event Based Medium Access Control for Wireless Sensor Networks. Search on Bibsonomy PerCom Workshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Ahmed Abdelgawad 0001, Zaher Merhi, Mohamed A. Elgamel, Magdy A. Bayoumi, Amal Zaki Data Fusion Framework for Sand Detection in Pipelines. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Sherif A. Elgamel, John J. Soraghan Target Tracking Enhancement using a Kalman Filter in the Presence of Interference. Search on Bibsonomy IGARSS (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Yasser Ismail, Mohamed A. Elgamel, Magdy A. Bayoumi Adaptive Techniques for a Fast Frequency Domain Motion Estimation. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Zaher Merhi, Mohamed A. Elgamel, Magdy A. Bayoumi Fully Decentralized Weighted Kalman Filter for Wireless Sensor Networks with FuzzyART Neural Networks. Search on Bibsonomy ISCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Sumeer Goel, Mohamed A. Elgamel, Magdy A. Bayoumi, Yasser Y. Hanafy Design methodologies for high-performance noise-tolerant XOR-XNOR circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Mohamed A. Elgamel, Ashok Kumar 0001, Magdy A. Bayoumi Efficient shield insertion for inductive noise reduction in nanometer technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Mohamed A. Elgamel, Md. Ibrahim Faisal, Magdy A. Bayoumi Noise Metrics in Flip-Flop Designs. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Ramy E. Aly, Mohamed A. Elgamel, Magdy A. Bayoumi Dual sense amplified bit lines (DSABL) architecture for low-power SRAM design. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Yijun Li, Mohamed A. Elgamel, Magdy A. Bayoumi A partial parallel algorithm and architecture for arithmetic encoder in JPEG2000. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Ashok Kumar 0001, Magdy A. Bayoumi, Mohamed A. Elgamel A methodology for low power scheduling with resources operating at multiple voltages. Search on Bibsonomy Integr. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Mohamed A. Elgamel, Magdy A. Bayoumi, Ahmed M. Shams, Bertrand Y. Zavidovique Low Power Full Search Block Matching Motion Estimation Vlsi Architectures. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi Crosstalk Noise Analysis in Ultra Deep Submicrometer Technologies. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Sumeer Goel, Mohamed A. Elgamel, Magdy A. Bayoumi Energy Efficient and Noise-Tolerant XOR-XNOR Circuit Design. Search on Bibsonomy VLSI The full citation details ... 2003 DBLP  BibTeX  RDF
34Sumeer Goel, Mohamed A. Elgamel, Magdy A. Bayoumi Novel Design Methodology for High-Performance XOR-XNOR Circuit Design. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Mohamed A. Elgamel, Magdy A. Bayoumi Minimum-Area Shield Insertion for Explicit Inductive Noise Reduction. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Shield insertion, Algorithms, Noise, Inductance, DSM
34Mohamed A. Elgamel, Magdy A. Bayoumi An efficient minimum area spacing algorithm for noise reduction. Search on Bibsonomy ICECS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Mohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi Noise-constrained interconnect optimization for nanometer technologies. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Mohamed A. Elgamel, Sumeer Goel, Magdy A. Bayoumi Noise tolerant low voltage XOR-XNOR for fast arithmetic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF XOR-XNOR circuits, multipliers, noise tolerant, deep submicron, nanometer technology
34Mohamed A. Elgamel, Tarek Darwish, Magdy A. Bayoumi Noise Tolerant Low Power Dynamic TSPCL D Flip-Flops. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF noise, power, flip-flop, deep submicron
34Mohamed A. Elgamel, Magdy A. Bayoumi On low power high level synthesis using genetic algorithms. Search on Bibsonomy ICECS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Mohamed A. Elgamel, Magdy A. Bayoumi On Low Power High Level Synthesis Using Genetic Algorithms. Search on Bibsonomy IWLS The full citation details ... 2002 DBLP  BibTeX  RDF
34Mohamed A. Elgamel, Bharat R. Nallamilli, Magdy A. Bayoumi, Samia Mashaly Systolic array architectures for full-search block matching motion estimation. Search on Bibsonomy DCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Sai K. Sadhu, Mohamed A. Elgamel, Magdy A. Bayoumi, Samia Mashaly Low power full search block matching motion estimation architecture. Search on Bibsonomy DCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Mohamed A. Elgamel, Ahmed M. Shams, Xi Xueling, Magdy A. Bayoumi Enhanced low power motion estimation VLSI architectures for video compression. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Ahmed M. Shams, Mohamed A. Elgamel, Magdy A. Bayoumi Hybrid Mesh-Based/Block-Based Motion Compensation Architecture. Search on Bibsonomy Workshop on Digital and Computational Video The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Xiaolei Dong, Zhenfu Cao, Licheng Wang New designing of cryptosystems based on quadratic fields. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF quadratic field cryptography, quadratic fields, provable security, public key cryptosystem
Displaying result #1 - #43 of 43 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license