The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase Micro-architectures (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-2002 (15) 2003-2006 (21) 2007-2010 (15) 2011-2023 (7)
Publication types (Num. hits)
article(6) inproceedings(51) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 52 occurrences of 46 keywords

Results
Found 58 publication records. Showing 58 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
55Vangalur S. Alagar, Ralf Lämmel Three-Tiered Specification of Micro-architectures. Search on Bibsonomy ICFEM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF UML, interaction, formal methods, frameworks, design patterns, reuse, evolution, object-oriented design, micro-architectures
34Pramod Ramarao, Akhilesh Tyagi An Adiabatic Framework for a Low Energy µ-Architecture & Compiler. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Shai Erez, Guy Even An improved micro-architecture for function approximation using piecewise quadratic interpolation. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Boon Seong Ang, Derek Chiou, Larry Rudolph, Arvind Micro-Architectures of High Performance, Multi-User System Area Network Interface Cards. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Message Passing Mechanisms, Network Interface Unit Architecture, One-poll Mechanism
24Yann-Gaël Guéhéneuc, Houari A. Sahraoui, Farouk Zaidi Fingerprinting Design Patterns. Search on Bibsonomy WCRE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Matthias Christen, Olaf Schenk, Esra Neufeld, Peter Messmer, Helmar Burkhart Parallel data-locality aware stencil computations on modern micro-architectures. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Lev Kirischian, Irina Terterian, Pil Woo Chun, Vadim Geurkov Re-Configurable Parallel Stream Processor with Self-Assembling and Self-Restorable Micro-Architecture. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Carl J. Mauer, Mark D. Hill, David A. Wood 0001 Full-system timing-first simulation. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Salah Merniz, Mohamed Benmohammed A Scalable Proof Methodology for RISC Processor Designs: A Functional Approach. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF State functions, RISC designs, Formal Verification, Functional programming, Micro-architectures
19Avi Mendelson Memory management challenges in the power-aware computing era. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Liwen Shih Microprogramming heritage of RISC design. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
16Jason Mars, Neil Vachharajani, Robert Hundt, Mary Lou Soffa Contention aware execution: online contention detection and response. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cross-core interference, dynamic techniques, execution runtimes, online adaptation, multicore, contention
16Yann-Gaël Guéhéneuc, Giuliano Antoniol DeMIMA: A Multilayered Approach for Design Pattern Identification. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Javier Garzás, Mario Piattini Improving Object-Oriented Micro Architectural Design Through Knowledge Systematization. Search on Bibsonomy ER (Workshops) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Yujia Jin, Nadathur Satish, Kaushik Ravindran, Kurt Keutzer An automated exploration framework for FPGA-based soft multiprocessor systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF IPv4 packet forwarding, soft multiprocessors, FPGA, design space exploration, integer linear programming
16Ilya Wagner, Valeria Bertacco, Todd M. Austin StressTest: an automatic approach to test generation via activity monitors. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF directed-random simulation, architectural simulation, high-performance simulation
13Nikhilesh Singh, Vinod Ganesan, Chester Rebeiro A Survey of Security Concerns and Countermeasures in Modern Micro-architectures with Transient Execution. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Sara Sadat Hoseininasab, Caroline Collange, Steven Derrien Rapid Prototyping of Complex Micro-architectures Through High-Level Synthesis. Search on Bibsonomy ARC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
13Georgios Passas VLSI micro-architectures for high-radix crossbars Search on Bibsonomy 2012   RDF
13Giorgos Passas, Manolis Katevenis, Dionisios N. Pnevmatikatos VLSI micro-architectures for high-radix crossbar schedulers. Search on Bibsonomy NOCS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Dionysios Diamantopoulos, Kostas Siozios, Sotirios Xydis, Dimitrios Soudris Thermal optimization for micro-architectures through selective block replication. Search on Bibsonomy ICSAMOS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Karthik Swaminathan, Ravindhiran Mukundrajan, Niranjan Soundararajan, Vijaykrishnan Narayanan Towards Resilient Micro-architectures: Datapath Reliability Enhancement Using STT-MRAM. Search on Bibsonomy ISVLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Ahmed Belderrar, Segla Kpodjedo, Yann-Gaël Guéhéneuc, Giuliano Antoniol, Philippe Galinier Sub-graph Mining: Identifying Micro-architectures in Evolving Object-Oriented Software. Search on Bibsonomy CSMR The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
13Edward K. Walters II, J. Eliot B. Moss, Trek S. Palmer, Timothy Richards, Charles C. Weems CASL: A rapid-prototyping language for modern micro-architectures. Search on Bibsonomy Comput. Lang. Syst. Struct. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Edward K. Walters II, J. Eliot B. Moss, Trek S. Palmer, Timothy Richards, Charles C. Weems Modeling Modern Micro-architectures using CASL. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Catherine Dezan, Erwan Fabiani, Christophe Gouyen, Loïc Lagadec, Bernard Pottier, Caaliph Andriamisaina, Alix Poungou Synthèse portable pour micro-architectures à grain fin. Application aux turbo décodeurs et nanofabriques. Search on Bibsonomy Tech. Sci. Informatiques The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Steven Balensiefer, Lucas Kreger-Stickles, Mark Oskin An Evaluation Framework and Instruction Set Architecture for Ion-Trap Based Quantum Micro-Architectures. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Praveen Kalla, Jörg Henkel, Xiaobo Sharon Hu SEA: fast power estimation for micro-architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Vasu S. Alagar, Ralf Lämmel Three-Tiered Specification of Micro-Architectures Search on Bibsonomy CoRR The full citation details ... 2002 DBLP  BibTeX  RDF
13Marco Ferretti Multi-Media Extensions in Super-Pipelined Micro-Architectures. A New Case for SIMD Processing? Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF super-pipelined microarchitectures, general purpose microprocessors, Von-Neumann paradigm, image processing, parallel architectures, associated memory, instruction set architectures, massively parallel processors, multimedia extensions, SIMD processing
13Daniel Kröning, Wolfgang J. Paul, Silvia M. Müller Proving the Correctness of Pipelined Micro-Architectures. Search on Bibsonomy MBMV The full citation details ... 2000 DBLP  BibTeX  RDF
13Subrata Dasgupta A model of clocked micro-architectures for firmware engineering and design automation applications. Search on Bibsonomy MICRO The full citation details ... 1984 DBLP  BibTeX  RDF
13Takanobu Baba, Katsuhiro Yamazaki, Nobuyuki Hashimoto, Hiroyuki Kanai, Kenzo Okuda, Kazuhiko Hashimoto Hierarchical Micro-Architectures of a Two-Level Microprogrammed Multiprocessor Computer. Search on Bibsonomy ICPP The full citation details ... 1983 DBLP  BibTeX  RDF
13Hendrik F. Hamann, Alan J. Weger, James A. Lacey, Zhigang Hu, Pradip Bose, Erwin B. Cohen, Jamil A. Wakil Temperature-limited microprocessors: Measurements and design implications. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Eric Schnarr, Mark D. Hill, James R. Larus Facile: A Language and Compiler for High-Performance Processor Simulators. Search on Bibsonomy PLDI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF micro-architecture simulation, out-of-order processor simulation, partial evaluation, memoization
13Shu-Lin Hwang, Feipei Lai Two Cache Lines Prediction for a Wide-Issue Micro-architecture. Search on Bibsonomy ACSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Shrirang M. Yardi, Michael S. Hsiao Quantifying the energy efficiency of coordinated micro-architectural adaptation for multimedia workloads. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Christoph Denzler, Dominik Gruntz Design patterns: between programming and software design. Search on Bibsonomy ICSE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design patterns, software engineering curriculum
11Ernie Chan, Field G. Van Zee, Enrique S. Quintana-Ortí, Gregorio Quintana-Ortí, Robert A. van de Geijn Satisfying your dependencies with SuperMatrix. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Rao Fu, Jiwei Lu, Antonia Zhai, Wei-Chung Hsu A Study of the Performance Potential for Dynamic Instruction Hints Selection. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Eila Niemelä, Juhani Latvakoski Survey of requirements and solutions for ubiquitous software. Search on Bibsonomy MUM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ubiquitous computing, adaptability, software architecture, interoperability, pervasive computing
8Min Li 0001, David Novo, Bruno Bougard, Frederik Naessens, Liesbet Van der Perre, Francky Catthoor An implementation friendly low complexity multiplierless LLR generator for soft MIMO sphere decoders. Search on Bibsonomy SiPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Koustav Bhattacharya, Nagarajan Ranganathan A linear programming formulation for security-aware gate sizing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic power variance, linear programming., path balancing, differential power analysis, gate sizing
8Erdem Motuk, Roger F. Woods, Stefan Bilbao, John McAllister Design Methodology for Real-Time FPGA-Based Sound Synthesis. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Macneil Shonle Modular-Like Transformations and Style Checking for Crosscutting Programming Concepts. Search on Bibsonomy ICSE Companion The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Nirav Dave, Michael Pellauer, S. Gerding, Arvind 802.11a transmitter: a case study in microarchitectural exploration. Search on Bibsonomy MEMOCODE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Giuseppe Pappalardo, Emiliano Tramontana Automatically discovering design patterns and assessing concern separations for applications. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF software engineering, design patterns, evolution, software evolution, separation of concerns, computational reflection
8Ramkumar Jayaseelan, Tulika Mitra, Xianfeng Li Estimating the Worst-Case Energy Consumption of Embedded Software. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Suresh Srinivasan, Prasanth Mangalagiri, Yuan Xie 0001, Narayanan Vijaykrishnan, Karthik Sarpatwari FLAW: FPGA lifetime awareness. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hot carrier effects, time dependent dielecric breakdown, FPGA, electromigration
8Douglas Samuel Kirk, Marc Roper, Murray Wood Identifying and Addressing Problems in Framework Reuse. Search on Bibsonomy IWPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF software reuse, Object-oriented frameworks, software comprehension, framework documentation
8Evelyn Duesterwald, Calin Cascaval, Sandhya Dwarkadas Characterizing and Predicting Program Behavior and its Variability. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Silvia E. Gordillo, Javier Bazzocco, Gustavo Rossi, Robert Laurini Designing Evolvable Location Models for Ubiquitous Applications. Search on Bibsonomy OOIS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Antonio Carlos Schneider Beck, Júlio C. B. de Mattos, Flávio Rech Wagner, Luigi Carro CACO-PS: A General Purpose Cycle-Accurate Configurable Power Simulator. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Zsolt Balanyi, Rudolf Ferenc Mining Design Patterns from C++ Source Code. Search on Bibsonomy ICSM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Hangsheng Wang, Li-Shiuan Peh, Sharad Malik A Power Model for Routers: Modeling Alpha 21364 and InfiniBand Routers. Search on Bibsonomy Hot Interconnects The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8James Coplien, Liping Zhao 0001 Symmetry Breaking in Software Patterns. Search on Bibsonomy GCSE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
8Giuliano Antoniol, Roberto Fiutem, L. Cristoforetti Using Metrics to Identify Design Patterns in Object-Oriented Software. Search on Bibsonomy IEEE METRICS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Design patterns, software metrics, design recovery
8Erich Gamma, Richard Helm, Ralph E. Johnson, John M. Vlissides Design Patterns: Abstraction and Reuse of Object-Oriented Design. Search on Bibsonomy ECOOP The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #58 of 58 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license