The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Multiplexor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1976-1996 (15) 1997-2001 (17) 2002-2021 (15)
Publication types (Num. hits)
article(16) inproceedings(30) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 25 occurrences of 22 keywords

Results
Found 47 publication records. Showing 47 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
115Joerg Abke, Erich Barke, Jörn Stohmann A Universal Module Generator for LUT-Based FPGAs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiplexor, Multiplexor Structure, FPGA, Technology Mapping, Module Generator
105Alan T. Krantz, Sarah E. Chodrow, Michael D. Hirsch, Injong Rhee, Julie Sult, Vaidy S. Sunderam Design and Implementation of a Distributed X-Multiplexor. Search on Bibsonomy ICDCS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF X11, Collaboration, Application Sharing
86Yung-Ming Fang, D. F. Wong 0001 Multiplexor Network Generation in High Level Synthesis. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF High Level Synthesis
67Dhananjay S. Phatak, Israel Koren Intermediate Variable Encodings that Enable Multiplexor-Based Implementations of Two Operand Addition. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
59Rolf Drechsler, Junhao Shi, Görschwin Fey MuTaTe: an efficient design for testability technique for multiplexor based circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiplexor based circuits, design for testability, logic synthesis, BDDs, decision diagrams
48Brian F. Redmond Multiplexor Categories and Models of Soft Linear Logic. Search on Bibsonomy LFCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Categorical semantics, Soft Linear Logic, Polynomial time, Game semantics
38Aiman H. El-Maleh, Janusz Rajski Delay-fault testability preservation of the concurrent decomposition and factorization transformations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
30Po-Cheng Tsai, Yen Ting Lu, Tsung-Yi Ho, Ya-Tang Yang A standalone, programmable digital microfluidics system with multiplexor interface logic. Search on Bibsonomy MED The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
30James R. Hoff Conflux - An Asynchronous Two-to-One Multiplexor for Time-Division Multiplexing and Clockless, Tokenless Readout. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
30Or Meir Toward Better Depth Lower Bounds: Two Results on the Multiplexor Relation. Search on Bibsonomy Comput. Complex. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
30Or Meir Toward Better Depth Lower Bounds: Two Results on the Multiplexor Relation. Search on Bibsonomy Electron. Colloquium Comput. Complex. The full citation details ... 2019 DBLP  BibTeX  RDF
30Shaofa Yang, Hon Wai Leong Improved Algorithms for Low Power Multiplexor Decomposition. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Frank te Beest, Ad M. G. Peeters A Multiplexor Based Test Method for Self-Timed Circuits. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Belka Kraimeche Voice and data integration at an ATM DSL access multiplexor. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Rolf Drechsler, Wolfgang Günther 0001 Evolutionary Synthesis of Multiplexor Circuits under Hardware Constraints. Search on Bibsonomy GECCO The full citation details ... 2000 DBLP  BibTeX  RDF
30Mónica Aguilar-Igartua Contribución al modelado y caracterización de nodos en redes de banda ancha. Aplicación al multiplexor inverso ATM. Search on Bibsonomy 2000   RDF
30Abdelnaser Mohammad Adas, Amarnath Mukherjee Providing Heterogeneous Quality of Service Bounds for Correlated Video Traffic at a Multiplexor. Search on Bibsonomy Perform. Evaluation The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Belka Kraimeche Cell Loss and Call Blocking at an ATM Multiplexor. Search on Bibsonomy ICCCN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Pranav Ashar, Srinivas Devadas, Kurt Keutzer Path-delay-fault testability properties of multiplexor-based networks. Search on Bibsonomy Integr. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Pranav Ashar, Srinivas Devadas, Kurt Keutzer Gate-Delay-Fault Testability Properties of Multiplexor-Based Networks. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Ricardo P. Jacobi, Anne-Marie Trullemans A new logic minimization method for multiplexor-based FPGA synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Rajeev Murgai, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli An Improved Synthesis Algorithm for Multiplexor-Based PGA's. Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF
30Pranav Ashar, Srinivas Devadas, Kurt Keutzer Gate-Delay-Fault Testability Properties of Multiplexor-Based Networks. Search on Bibsonomy ITC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
30Belka Kraimeche Integration of Narrowband and Wideband Traffic at an STM Multiplexor. Search on Bibsonomy ICSI The full citation details ... 1990 DBLP  BibTeX  RDF
30A. D. Elliman, A. R. Sudhindra Performances of two Microprocessor-Based Multiplexor Techniques. Search on Bibsonomy Comput. J. The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
30P. D. Burke A Case Study in Front-Ending: A Nova 1200 'Front-End' to a CDC 6671 Multiplexor. Search on Bibsonomy Aust. Comput. J. The full citation details ... 1976 DBLP  BibTeX  RDF
29Alexander Birman, H. Richard Gail, Sidney L. Hantler, Zvi Rosberg, Moshe Sidi An Optimal Service Policy for Buffer Systems. Search on Bibsonomy J. ACM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gradual input, multiplexor, switch, buffer overflow, parallel queues, service discipline
19Andrew Harrison 0001, Ian J. Taylor Web enabling desktop workflow applications. Search on Bibsonomy SC-WORKS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF OMII, WHIP, eScience workflows, collaboration, metadata, web 2.0, information exchange
19Vivek V. Shende, Stephen S. Bullock, Igor L. Markov Synthesis of quantum-logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Ulrich Kühne, Nicole Drechsler Finding Compact BDDs Using Genetic Programming. Search on Bibsonomy EvoWorkshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jorge Júlvez, Jordi Cortadella, Michael Kishinevsky Performance analysis of concurrent systems with early evaluation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Joan Daemen, Gilles Van Assche Distinguishing Stream Ciphers with Convolutional Filters. Search on Bibsonomy SCN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Atsushi Wada, Keiki Takadama, Katsunori Shimohara, Osamu Katai Analyzing Parameter Sensitivity and Classifier Representations for Real-Valued XCS. Search on Bibsonomy IWLCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Ahmed Aslam, Kenneth J. Christensen Parallel Packet Switching Using Multiplexors with Virtual Input Queues. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Philip Hawkes, Frank Quick, Gregory G. Rose A Practical Cryptanalysis of SSC2. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 2001 DBLP  DOI  BibTeX  RDF SSC2, fast correlation attack
19Wolfgang Günther 0001, Rolf Drechsler Performance Driven Optimization for MUX based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Wolfgang Günther 0001, Rolf Drechsler ACTion: Combining Logic Synthesis and Technology Mapping for MUX Based FPGAs. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Debatosh Debnath, Tsutomu Sasao Fast Boolean Matching Under Permutation Using Representative. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF variable permutation, P-equivalence, technology mapping, Boolean matching
19Lashon B. Booker Do We Really Need to Estimate Rule Utilities in Classifier Systems?. Search on Bibsonomy Learning Classifier Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Kenneth Y. Yun, Bill Lin 0001, David L. Dill, Srinivas Devadas BDD-based synthesis of extended burst-mode controllers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Pradeep Prabhakaran, Prithviraj Banerjee Simultaneous Scheduling, Binding and Floorplanning in High-level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF timing driven synthesis, High-level synthesis, floorplanning
19Yongjin Jeong, Wayne P. Burleson VLSI array algorithms and architectures for RSA modular multiplication. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Chidanand Apté, Se June Hong, Jonathan R. M. Hosking, Jorge Lepre, Edwin P. D. Pednault, Barry K. Rosen Decomposition of Heterogeneous Classification Problems. Search on Bibsonomy IDA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Renu Mehra, Lisa M. Guerra, Jan M. Rabaey Low-power architectural synthesis and the impact of exploiting locality. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Kenneth Y. Yun, Bill Lin 0001, David L. Dill, Srinivas Devadas Performance-driven synthesis of asynchronous controllers. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Larry L. Peterson Life on the OS/network boundary. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
19Rajeev Murgai, Yoshihito Nishizaki, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Logic Synthesis for Programmable Gate Arrays. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #47 of 47 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license