|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 61 occurrences of 53 keywords
|
|
|
Results
Found 80 publication records. Showing 80 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
148 | David Feldcamp, Alan Wagner |
Using the Parsec environment to implement a high-performance processor farm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (2) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 212-221, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Parsec, high-performance processor farm, multicomputer programs, context-sensitive configuration, hand-optimized implementations, declarative structural information, parallel application structure specification, performance evaluation, performance, user interfaces, user interface, scalability, parallel programming, parallel architectures, static analysis, message passing, reuse, abstraction, optimisation, programming environments, software reusability, parallel programming environment, communication primitives |
82 | Christian Bienia, Sanjeev Kumar, Kai Li 0001 |
PARSEC vs. SPLASH-2: A quantitative comparison of two multithreaded benchmark suites on Chip-Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IISWC ![In: 4th International Symposium on Workload Characterization (IISWC 2008), Seattle, Washington, USA, September 14-16, 2008, pp. 47-56, 2008, IEEE Computer Society, 978-1-4244-2778-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
63 | Christian Bienia, Sanjeev Kumar, Jaswinder Pal Singh, Kai Li 0001 |
The PARSEC benchmark suite: characterization and architectural implications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 17th International Conference on Parallel Architectures and Compilation Techniques, PACT 2008, Toronto, Ontario, Canada, October 25-29, 2008, pp. 72-81, 2008, ACM, 978-1-60558-282-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
benchmark suite, shared-memory computers, multithreading, performance measurement |
56 | Nagesh B. Lakshminarayana, Jaekyu Lee, Hyesoon Kim |
Age based scheduling for asymmetric multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
age based scheduling, asymmetric multiprocessors, thread scheduling |
55 | Muhammad Abid Mughal, Haixia Wang 0001, Dongsheng Wang 0002 |
Coherent Temporal Streams in PARSEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAS ![In: Sixth International Conference on Networking, Architecture, and Storage, NAS 2011, Dalian, China, 28-30 July, 2011, pp. 295-301, 2011, IEEE Computer Society, 978-1-4577-1172-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
PARSEC, SEQUITUR, memory streaming, coherent misses, Cache |
52 | An Huynh, Christian Helm, Shintaro Iwasaki, Wataru Endo, Byambajav Namsraijav, Kenjiro Taura |
TP-PARSEC: A Task Parallel PARSEC Benchmark Suite. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Process. ![In: J. Inf. Process. 27, pp. 211-220, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
37 | Abhishek Bhattacharjee, Margaret Martonosi |
Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 290-301, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
intel tbb, thread criticality prediction, parallel processing, caches, dvfs |
37 | Yoshiaki Sudo, Shigeo Suzuki, Shigeki Shibayama |
Distributed-Thread Scheduling Methods for Reducing Page-Thrashing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 6th International Symposium on High Performance Distributed Computing, HPDC '97, Portland, OR, USA, August 5-8, 1997., pp. 356-364, 1997, IEEE Computer Society, 0-8186-8117-9. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
29 | Ye Zhang, Wentong Cai 0001, Stephen John Turner |
A parallel object-oriented manufacturing simulation language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Parallel and Distributed Simulation ![In: Proceedings of the 15th Workshop on Parallel and Distributed Simulation, PADS 2001, Lake Arrowhead, California, USA, May 15-18, 2001, pp. 101-108, 2001, IEEE Computer Society, 0-7695-1104-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
PARSEC, POMSim, Sematech Data Modeling Standard, parallel simulation languages, semiconductor manufacturing, object-oriented simulation |
29 | Andreas Terzis, Konstantinos Nikoloudakis, Lan Wang, Lixia Zhang 0001 |
IRLSim: A General Purpose Packet Level Network Simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 33th Annual Simulation Symposium (SS 2000), 16-22 April 2000, Washington, DC, USA, pp. 109-120, 2000, IEEE Computer Society, 0-7695-0598-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Packet level network simulator, PARSEC, QoS, transport layer, RSVP |
26 | Patrick Spieler, Skylar X. Wei, Monica Li, Andrew Galassi, Kyle Uckert, Arash Kalantari, Joel W. Burdick |
PARSEC: An Aerial Platform for Autonomous Deployment of Self-Anchoring Payloads on Natural Vertical Surfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: IEEE International Conference on Robotics and Automation, ICRA 2023, London, UK, May 29 - June 2, 2023, pp. 5331-5337, 2023, IEEE, 979-8-3503-2365-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
26 | Qinglei Cao, George Bosilca, Nuria Losada, Wei Wu 0016, Dong Zhong, Jack J. Dongarra |
Evaluating Data Redistribution in PaRSEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 33(8), pp. 1856-1872, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
26 | Sameh Abdulah, Qinglei Cao, Yu Pei, George Bosilca, Jack J. Dongarra, Marc G. Genton, David E. Keyes, Hatem Ltaief, Ying Sun 0002 |
Accelerating Geostatistical Modeling and Prediction With Mixed-Precision Computations: A High-Productivity Approach With PaRSEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 33(4), pp. 964-976, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
26 | Vibhor Dodeja, Mohammad Almasri, Rakesh Nagi, Jinjun Xiong, Wen-Mei Hwu |
PARSEC: PARallel Subgraph Enumeration in CUDA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 2022 IEEE International Parallel and Distributed Processing Symposium, IPDPS 2022, Lyon, France, May 30 - June 3, 2022, pp. 168-178, 2022, IEEE, 978-1-6654-8106-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
26 | Qinglei Cao, Yu Pei, Kadir Akbudak, George Bosilca, Hatem Ltaief, David E. Keyes, Jack J. Dongarra |
Leveraging PaRSEC Runtime Support to Tackle Challenging 3D Data-Sparse Matrix Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 35th IEEE International Parallel and Distributed Processing Symposium, IPDPS 2021, Portland, OR, USA, May 17-21, 2021, pp. 79-89, 2021, IEEE, 978-1-6654-4066-0. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
26 | Kai-Hsin Liou, Chao Yang 0001, James R. Chelikowsky |
Scalable implementation of polynomial filtering for density functional theory calculation in PARSEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Phys. Commun. ![In: Comput. Phys. Commun. 254, pp. 107330, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
26 | Tieqiang Mo, Renfa Li |
Iteratively solving sparse linear system based on PaRSEC task scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Perform. Comput. Appl. ![In: Int. J. High Perform. Comput. Appl. 34(3), 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
26 | Yu Pei, Qinglei Cao, George Bosilca, Piotr Luszczek, Victor Eijkhout, Jack J. Dongarra |
Communication Avoiding 2D Stencil Implementations over PaRSEC Task-Based Runtime. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: 2020 IEEE International Parallel and Distributed Processing Symposium Workshops, IPDPSW 2020, New Orleans, LA, USA, May 18-22, 2020, pp. 721-729, 2020, IEEE, 978-1-7281-7445-7. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
26 | Lisa Underberg, Rolf Kraemer, Johannes von Hoyningen-Huene, Rüdiger Kays |
ParSec: Ein innovatives Funksystem für die Fertigungsautomation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Autom. ![In: Autom. 67(1), pp. 29-41, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
26 | Pierre Chevalier, Bartlomiej Kaminski, Fraser Hutchison, Qi Ma, Spandan Sharma, Andreas Fackler, William J. Buchanan |
Protocol for Asynchronous, Reliable, Secure and Efficient Consensus (PARSEC) Version 2.0. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1907.11445, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
26 | Carlos A. F. Maron, Adriano Vogel, Dalvan Griebler, Luiz Gustavo Fernandes |
Should PARSEC Benchmarks be More Parametric? A Case Study with Dedup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 27th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2019, Pavia, Italy, February 13-15, 2019, pp. 217-221, 2019, IEEE, 978-1-7281-1644-0. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
26 | Qinglei Cao, Yu Pei, Thomas Hérault, Kadir Akbudak, Aleksandr Mikhalev, George Bosilca, Hatem Ltaief, David E. Keyes, Jack J. Dongarra |
Performance Analysis of Tile Low-Rank Cholesky Factorization Using PaRSEC Instrumentation Tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ProTools@SC ![In: IEEE/ACM International Workshop on Programming and Performance Visualization Tools, ProTools@SC 2019, Denver, CO, USA, November 17, 2019, pp. 25-32, 2019, IEEE, 978-1-7281-6026-9. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
26 | Thomas Hérault, Yves Robert, George Bosilca, Jack J. Dongarra |
Generic Matrix Multiplication for Multi-GPU Accelerated Distributed-Memory Platforms over PaRSEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ScalA@SC ![In: 10th IEEE/ACM Workshop on Latest Advances in Scalable Algorithms for Large-Scale Systems, ScalA@SC 2019, Denver, CO, USA, November 18, 2019, pp. 33-41, 2019, IEEE, 978-1-7281-5989-8. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
26 | Dalal Sukkari, Hatem Ltaief, David E. Keyes, Mathieu Faverge |
Leveraging Task-Based Polar Decomposition Using PARSEC on Massively Parallel Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2019 IEEE International Conference on Cluster Computing, CLUSTER 2019, Albuquerque, NM, USA, September 23-26, 2019, pp. 1-12, 2019, IEEE, 978-1-7281-4734-5. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
26 | Nafiul Alam Siddique, Patricia Grubel, Abdel-Hameed A. Badawy, Jeanine E. Cook |
A performance study of the time-varying cache behavior: a study on APEX, Mantevo, NAS, and PARSEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 74(2), pp. 665-695, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
26 | Amit Kumar Jaiswal |
Parsec: A State Channel for the Internet of Value. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1807.11378, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
26 | Jamie Willis, Nicolas Wu |
Garnishing parsec with parsley. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCALA@ICFP ![In: Proceedings of the 9th ACM SIGPLAN International Symposium on Scala, SCALA@ICFP 2018, St. Louis, MO, USA, September 28, 2018, pp. 24-34, 2018, ACM. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
26 | Lisa Underberg, Ramona Croonenbroeck, Ruediger Kays, Rolf Kraemer |
ParSec: Wireless industrial communication first PSSS measurements in industrial environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WFCS ![In: IEEE 13th International Workshop on Factory Communication Systems, WFCS 2017, Trondheim, Norway, May 31 - June 2, 2017, pp. 1-8, 2017, IEEE, 978-1-5090-5788-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
26 | Reazul Hoque, Thomas Hérault, George Bosilca, Jack J. Dongarra |
Dynamic task discovery in PaRSEC: a data-flow task-based runtime. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ScalA@SC ![In: Proceedings of the 8th Workshop on Latest Advances in Scalable Algorithms for Large-Scale Systems, ScalA@SC 2017, Denver, CO, USA, November 13, 2017, pp. 6:1-6:8, 2017, ACM, 978-1-4503-5125-6. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
26 | Dimitrios Chasapis, Marc Casas, Miquel Moretó, Raul Vidal, Eduard Ayguadé, Jesús Labarta, Mateo Valero |
PARSECSs: Evaluating the Impact of Task Parallelism in the PARSEC Benchmark Suite. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 12(4), pp. 41:1-41:22, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
26 | Alexey Malhanov, Ariel J. Biller, Michael Chuvelev |
Optimizing PARSEC for Knights Landing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroMPI ![In: Proceedings of the 23rd European MPI Users' Group Meeting, EuroMPI 2016, Edinburgh, United Kingdom, September 25-28, 2016, pp. 213-214, 2016, ACM, 978-1-4503-4234-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
26 | Gabriel Southern, Jose Renau |
Analysis of PARSEC workload scalability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2016 IEEE International Symposium on Performance Analysis of Systems and Software, ISPASS 2016, Uppsala, Sweden, April 17-19, 2016, pp. 133-142, 2016, IEEE Computer Society, 978-1-5090-1953-3. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
26 | Rodrigo Cataldo, Guilherme Korol, Ramon Fernandes, Gustavo Sanchez, Debora Matos, César A. M. Marcon |
Evaluation of emerging TSV-enabled main memories on the PARSEC benchmark. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECS ![In: 2016 IEEE International Conference on Electronics, Circuits and Systems, ICECS 2016, Monte Carlo, Monaco, December 11-14, 2016, pp. 408-411, 2016, IEEE, 978-1-5090-6113-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
26 | Snehasish Kumar, William N. Sumner, Arrvindh Shriraman |
SPEC-AX and PARSEC-AX: extracting accelerator benchmarks from microprocessor benchmarks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IISWC ![In: 2016 IEEE International Symposium on Workload Characterization, IISWC 2016, Providence, RI, USA, September 25-27, 2016, pp. 117-127, 2016, IEEE Computer Society, 978-1-5090-3896-1. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
26 | Rolf Kraemer, Michael Methfessel, Rüdiger Kays, Lisa Underberg, Andreas C. Wolf |
ParSec: A PSSS approach to industrial radio with very low and very flexible cycle timing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUSIPCO ![In: 24th European Signal Processing Conference, EUSIPCO 2016, Budapest, Hungary, August 29 - September 2, 2016, pp. 1222-1226, 2016, IEEE, 978-0-9928-6265-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
26 | Juan M. Cebrian, Magnus Jahre, Lasse Natvig |
ParVec: vectorizing the PARSEC benchmark suite. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computing ![In: Computing 97(11), pp. 1077-1100, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
26 | Salli Moustafa, Mathieu Faverge, Laurent Plagne, Pierre Ramet |
3D Cartesian Transport Sweep for Massively Parallel Architectures with PaRSEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 2015 IEEE International Parallel and Distributed Processing Symposium, IPDPS 2015, Hyderabad, India, May 25-29, 2015, pp. 581-590, 2015, IEEE Computer Society, 978-1-4799-8649-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
26 | Waqas Saleem, Athar Kharal, Riaz Ahmad, Ayman Saleem |
Comparison of ACO and GA techniques to generate Neural Network based Bezier-PARSEC parameterized airfoil. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNC ![In: 11th International Conference on Natural Computation, ICNC 2015, Zhangjiajie, China, August 15-17, 2015, pp. 1138-1145, 2015, IEEE, 978-1-4673-7679-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
26 | Anthony Danalis, Heike Jagode, George Bosilca, Jack J. Dongarra |
PaRSEC in Practice: Optimizing a Legacy Chemistry Application through Distributed Task-Based Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2015 IEEE International Conference on Cluster Computing, CLUSTER 2015, Chicago, IL, USA, September 8-11, 2015, pp. 304-313, 2015, IEEE Computer Society, 978-1-4673-6598-7. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
26 | Salli Moustafa, Mathieu Faverge, Laurent Plagne, Pierre Ramet |
Parallel 3D Sweep Kernel with PARSEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC/CSS/ICESS ![In: 2014 IEEE International Conference on High Performance Computing and Communications, 6th IEEE International Symposium on Cyberspace Safety and Security, 11th IEEE International Conference on Embedded Software and Systems, HPCC/CSS/ICESS 2014, Paris, France, August 20-22, 2014, pp. 1253-1254, 2014, IEEE, 978-1-4799-6123-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
26 | Michael D. Adams 0001, Ömer S. Agacan |
Indentation-sensitive parsing for Parsec. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Haskell ![In: Proceedings of the 2014 ACM SIGPLAN symposium on Haskell, Gothenburg, Sweden, September 4-5, 2014, pp. 121-132, 2014, ACM, 978-1-4503-3041-1. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
26 | George Bosilca, Aurélien Bouteiller, Anthony Danalis, Mathieu Faverge, Thomas Hérault, Jack J. Dongarra |
PaRSEC: Exploiting Heterogeneity to Enhance Scalability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Sci. Eng. ![In: Comput. Sci. Eng. 15(6), pp. 36-45, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
26 | Alexis Allot, Yannick-Noël Anno, Laetitia Poidevin, Raymond Ripp, Olivier Poch, Odile Lecompte |
PARSEC: PAtteRn SEarch and Contextualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Bioinform. ![In: Bioinform. 29(20), pp. 2643-2644, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
26 | Guillaume Aupy, Mathieu Faverge, Yves Robert, Jakub Kurzak, Piotr Luszczek, Jack J. Dongarra |
Implementing a Systolic Algorithm for QR Factorization on Multicore Clusters with PaRSEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par Workshops ![In: Euro-Par 2013: Parallel Processing Workshops - BigDataCloud, DIHC, FedICI, HeteroPar, HiBB, LSDVE, MHPC, OMHI, PADABS, PROPER, Resilience, ROME, and UCHPC 2013, Aachen, Germany, August 26-27, 2013. Revised Selected Papers, pp. 657-667, 2013, Springer, 978-3-642-54419-4. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
26 | David A. Padua |
PARSEC Benchmarks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Parallel Computing ![In: Encyclopedia of Parallel Computing, pp. 1464, 2011, Springer, 978-0-387-09765-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
26 | Dominic Hillenbrand, Jie Tao 0001, Matthias Norbert Balzer |
ALPS: A Methodology for Application-Level Communication Characterization of Parsec 2.1. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS ![In: Conceptual Structures for Discovering Knowledge - 19th International Conference on Conceptual Structures, ICCS 2011, Derby, UK, July 25-29, 2011. Proceedings, pp. 2086-2095, 2011, Springer, 978-3-642-22687-8. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
26 | R. W. Derksen, Tim Rogalsky |
Bezier-PARSEC: An optimized aerofoil parameterization for design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Adv. Eng. Softw. ![In: Adv. Eng. Softw. 41(7-8), pp. 923-930, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
26 | Christian Bienia, Kai Li 0001 |
Scaling of the PARSEC benchmark inputs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 19th International Conference on Parallel Architectures and Compilation Techniques, PACT 2010, Vienna, Austria, September 11-15, 2010, pp. 561-562, 2010, ACM, 978-1-4503-0178-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
26 | Christian Bienia, Kai Li 0001 |
Fidelity and scaling of the PARSEC benchmark inputs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IISWC ![In: Proceedings of the 2010 IEEE International Symposium on Workload Characterization, IISWC 2010, Atlanta, GA, USA, December 2-4, 2010, pp. 1-10, 2010, IEEE Computer Society, 978-1-4244-9297-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
26 | Major Bhadauria, Vincent M. Weaver, Sally A. McKee |
PARSEC: hardware profiling of emerging workloads for CMP design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 509-510, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
cmp design profiling |
26 | Major Bhadauria, Vincent M. Weaver, Sally A. McKee |
Understanding PARSEC performance on contemporary CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IISWC ![In: Proceedings of the 2009 IEEE International Symposium on Workload Characterization, IISWC 2009, October 4-6, 2009, Austin, TX, USA, pp. 98-107, 2009, IEEE Computer Society, 978-1-4244-5156-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
26 | Nick Barrow-Williams, Christian Fensch, Simon W. Moore |
A communication characterisation of Splash-2 and Parsec. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IISWC ![In: Proceedings of the 2009 IEEE International Symposium on Workload Characterization, IISWC 2009, October 4-6, 2009, Austin, TX, USA, pp. 86-97, 2009, IEEE Computer Society, 978-1-4244-5156-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
26 | Ye Zhang, Wentong Cai 0001, Stephen John Turner |
Parallel discrete event simulation of manufacturing systems using parsec. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESM ![In: 14th European Simulation Multiconference - Simulation and Modelling: Enablers for a Better Quality of Life, May 23-26, 2000, Ghent, Belgium, pp. 296-301, 2000, SCS Europe, 1-56555-204-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP BibTeX RDF |
|
26 | CongDuc Pham, Rajive L. Bagrodia |
Building Parallel Time-Constrained HLA Federates: A Case Study with the Parsec Parallel Simulation Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 30th conference on Winter simulation, WSC 1998, Washington DC, USA, December 13-16, 1998, pp. 1555-1562, 1998, WSC, 0-7803-5134-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Randall A. Helzerman, Carla B. Zoltowski, Boon-Lock Yeo, Yin Chan, Todd Stewart, Bryan L. Pellom |
Implementation Issues in the Development of the PARSEC Parser. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Pract. Exp. ![In: Softw. Pract. Exp. 25(8), pp. 831-862, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
26 | Carla B. Zoltowski, Mary P. Harper, Leah H. Jamieson, Randall A. Helzerman |
PARSEC: a constraint-based framework for spoken language understanding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSLP ![In: The Second International Conference on Spoken Language Processing, ICSLP 1992, Banff, Alberta, Canada, October 13-16, 1992, pp. 249-252, 1992, ISCA. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
26 | Ajay N. Jain, Alex Waibel, David S. Touretzky |
PARSEC: a structured connectionist parsing system for spoken language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICASSP ![In: 1992 IEEE International Conference on Acoustics, Speech, and Signal Processing, ICASSP '92, San Francisco, California, USA, March 23-26, 1992, pp. 205-208, 1992, IEEE Computer Society, 0-7803-0532-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
26 | Ajay N. Jain |
Generalization Performance in PARSEC - A Structured Connectionist Parsing Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NIPS ![In: Advances in Neural Information Processing Systems 4, [NIPS Conference, Denver, Colorado, USA, December 2-5, 1991], pp. 209-216, 1991, Morgan Kaufmann, 1-55860-222-4. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP BibTeX RDF |
|
26 | Carla B. Zoltowski |
Current Research in the Development of a Spoken Language Understanding System using PARSEC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACL ![In: 29th Annual Meeting of the Association for Computational Linguistics, 18-21 June 1991, University of California, Berkeley, California, USA, Proceedings., pp. 353-354, 1991, ACL. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP BibTeX RDF |
|
19 | Michael Moeng, Rami G. Melhem |
Applying statistical machine learning to multicore voltage & frequency scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010, pp. 277-286, 2010, ACM, 978-1-4503-0044-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
decision tree, power management, multicore |
19 | Eddy Z. Zhang, Yunlian Jiang, Xipeng Shen |
Does cache sharing on modern CMP matter to the performance of contemporary multithreaded programs? ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2010, Bangalore, India, January 9-14, 2010, pp. 203-212, 2010, ACM, 978-1-60558-877-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
parallel program optimizations, chip multiprocessors, shared cache, thread scheduling |
19 | Henry Hoffmann, Jonathan Eastep, Marco D. Santambrogio, Jason E. Miller, Anant Agarwal |
Application heartbeats for software performance and health. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 15th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2010, Bangalore, India, January 9-14, 2010, pp. 347-348, 2010, ACM, 978-1-60558-877-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
adaptive algorithms |
19 | Marc de Kruijf, Shuou Nomura, Karthikeyan Sankaralingam |
Relax: an architectural framework for software recovery of hardware faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 497-508, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
software recovery, reliability |
19 | Pranay Koka, Michael O. McCracken, Herb Schwetman, Xuezhe Zheng, Ron Ho, Ashok V. Krishnamoorthy |
Silicon-photonic network architectures for scalable, power-efficient multi-chip systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 117-128, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
interconnection networks, nanophotonics |
19 | Brandon Lucia, Luis Ceze, Karin Strauss, Shaz Qadeer, Hans-Juergen Boehm |
Conflict exceptions: simplifying concurrent language semantics with precise hardware exceptions for data-races. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 210-221, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
multicores, threads, data-races, memory consistency models, bug detection |
19 | Michelle L. Goodstein, Evangelos Vlachos, Shimin Chen, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry |
Butterfly analysis: adapting dataflow analysis to dynamic parallel monitoring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 257-270, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
dynamic program monitoring, parallel programming, static analysis, data flow analysis |
19 | Evangelos Vlachos, Michelle L. Goodstein, Michael A. Kozuch, Shimin Chen, Babak Falsafi, Phillip B. Gibbons, Todd C. Mowry |
ParaLog: enabling and accelerating online parallel monitoring of multithreaded applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 271-284, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
hardware support for debugging, instruction-grain lifeguards, online parallel monitoring |
19 | Tom Bergan, Owen Anderson, Joseph Devietti, Luis Ceze, Dan Grossman |
CoreDet: a compiler and runtime system for deterministic multithreaded execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 53-64, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
compilers, multithreading, multicore, determinism |
19 | Doe Hyun Yoon, Mattan Erez |
Virtualized and flexible ECC for main memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 397-408, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
fault tolerance, reliability, error correction, memory systems |
19 | Hari Kannan |
Ordering decoupled metadata accesses in multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 381-390, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Mahmut T. Kandemir, Sai Prashanth Muralidhara, Sri Hari Krishna Narayanan, Yuanrui Zhang, Ozcan Ozturk 0001 |
Optimizing shared cache behavior of chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 505-516, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Brandon Lucia, Luis Ceze |
Finding concurrency bugs with context-aware communication graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 553-563, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Sheng Li 0007, Jung Ho Ahn, Richard D. Strong, Jay B. Brockman, Dean M. Tullsen, Norman P. Jouppi |
McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 469-480, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
19 | Angeles G. Navarro, Rafael Asenjo, Siham Tabik, Calin Cascaval |
Load balancing using work-stealing for pipeline parallelism in emerging applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 517-518, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
work-stealing, load imbalance, parallel pipeline |
19 | Paruj Ratanaworabhan, Martin Burtscher, Darko Kirovski, Benjamin G. Zorn, Rahul Nagpal, Karthik Pattabiraman |
Detecting and tolerating asymmetric races. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 14th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2009, Raleigh, NC, USA, February 14-18, 2009, pp. 173-184, 2009, ACM, 978-1-60558-397-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
race detection and toleration, dynamic instrumentation, runtime support |
19 | Yijun Li, Hongyi Wu, Nian-Feng Tzeng, Dmitri D. Perkins, Magdy A. Bayoumi |
MAC-SCC: a medium access control protocol with separate control channel for reconfigurable multi-hop wireless networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 5(7), pp. 1805-1817, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Soyoung Hwang, Yunju Baek |
Fault Tolerant Time Synchronization for Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2006, 19th International Conference, Frankfurt/Main, Germany, March 13-16, 2006, Proceedings, pp. 480-493, 2006, Springer, 3-540-32765-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
19 | Robert P. Weaver, Michael L. Gittings, L. Pritchett, C. Scovel |
The Los Alamos Crestone Project: cluster computing applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2004 IEEE International Conference on Cluster Computing (CLUSTER 2004), September 20-23 2004, San Diego, California, USA, pp. 496, 2004, IEEE Computer Society, 0-7803-8694-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
19 | Lokesh Bajaj, Rajive L. Bagrodia, Richard A. Meyer |
Case Study: Parallelizing a Sequential Simulation Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Parallel and Distributed Simulation ![In: Proceedings of the Thirteenth Workshop on Parallel and Distributed Simulation, PADS '99, Atlanta, GA, USA, May 1-4, 1999, pp. 29-36, 1999, IEEE Computer Society, 0-7695-0155-9. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
19 | CongDuc Pham, Rajive L. Bagrodia |
HLA Support in a Discrete Event Simulation Language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIS-RT ![In: 3rd International Workshop on Distributed Interactive Simulation and Real-Time Applications (DIS-RT '99), 22-23 October 1999, Greenbelt, MD, USA, pp. 93-100, 1999, IEEE Computer Society, 0-7695-0459-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Discrete Event Simulation, High Level Architecture, Simulation Language |
Displaying result #1 - #80 of 80 (100 per page; Change: )
|
|