The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Predictor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1957-1968 (17) 1969-1979 (16) 1980-1982 (16) 1983-1986 (17) 1987-1989 (20) 1990-1992 (21) 1993-1994 (29) 1995 (19) 1996 (37) 1997 (46) 1998 (32) 1999 (68) 2000 (60) 2001 (91) 2002 (95) 2003 (112) 2004 (140) 2005 (230) 2006 (224) 2007 (231) 2008 (227) 2009 (163) 2010 (109) 2011 (93) 2012 (92) 2013 (110) 2014 (112) 2015 (111) 2016 (139) 2017 (119) 2018 (146) 2019 (171) 2020 (213) 2021 (205) 2022 (212) 2023 (242) 2024 (63)
Publication types (Num. hits)
article(1864) incollection(8) inproceedings(2163) phdthesis(10) proceedings(3)
Venues (Conferences, Journals, ...)
CoRR(219) Autom.(59) Bioinform.(53) CDC(47) ICASSP(43) ACC(42) PROMISE@ICSE(38) MICRO(37) HPCA(32) IEEE Access(32) BMC Bioinform.(31) ISCA(31) IEEE Trans. Autom. Control.(30) ICIP(29) IEEE Trans. Image Process.(29) Appl. Math. Comput.(26) More (+10 of total 1428)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1382 occurrences of 966 keywords

Results
Found 4048 publication records. Showing 4048 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
105Jinsuo Zhang The predictability of load address. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF context predictor, global context predictor, last address predictor, load address prediction, stack coloring, stride predictor, program behavior, hybrid predictor
83W. Eric Wong, Jin Zhao, Victor K. Y. Chan Applying statistical methodology to optimize and simplify software metric models with missing data. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF imputation method, model optimization, models, software metrics, missing data, model simplification
78Michael Ferdman, Babak Falsafi Last-Touch Correlated Data Streaming. Search on Bibsonomy ISPASS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF predictor lookahead, last-touch correlated data streaming, address-correlating predictor, cache block address identification, correlation data storage, program active memory footprint, prediction lookahead, off-chip correlation data lookup, scalable on-chip table, low-latency lookup, on-chip storage, last-touch predictor, prefetch, superscalar processor, cycle-accurate simulation
77Cheol Kim, Sung Chung, Chu Shik Jhon A Power-Aware Branch Predictor by Accessing the BTB Selectively. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF BTB, PHT, low power design, embedded processor, branch predictor
77Daniel A. Jiménez, Calvin Lin Neural methods for dynamic branch prediction. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF neural networks, Branch prediction
70Po-Yung Chang, Eric Hao, Tse-Yu Yeh, Yale N. Patt Branch classification: a new mechanism for improving branch predictor performance. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF branch classification, speculative execution, superscalar, processor performance, branch predictor
70Haibin Huang, Pasi Fränti, Dong-Yan Huang, Susanto Rahardja Cascaded RLS-LMS Prediction in MPEG-4 Lossless Audio Coding. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
67Thomas Zemen, Christoph F. Mecklenbräuker, Florian Kaltenberger, Bernard H. Fleury Minimum-Energy Band-Limited Predictor With Dynamic Subspace Selection for Time-Variant Flat-Fading Channels. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67Jian Zhang 0005, Renato J. O. Figueiredo Learning-aided predictor integration for system performance prediction. Search on Bibsonomy Clust. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Principal component analysis (PCA), Virtual machine, k-Nearest Neighbor (kNN), System performance, Virtual machine monitor, Time-series prediction, Bayesian classification
67Jian Zhang 0005, Renato J. O. Figueiredo Adaptive Predictor Integration for System Performance Prediction. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67André Seznec Analysis of the O-GEometric History Length Branch Predictor. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
67André Seznec, Stephen Felix, Venkata Krishnan, Yiannakis Sazeides Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF EV8 processor, Branch Prediction
66Pierre Michaud, André Seznec, Richard Uhlig Trading Conflict and Capacity Aliasing in Conditional Branch Predictors. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF 3 C's classification, skewed branch predictor, branch prediction, aliasing
63Anil Kumar Tiwari, Ratnam V. Raja Kumar A minimum entropy based switched adaptive predictor for lossless compression of images. Search on Bibsonomy Signal Image Video Process. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MED, LS-based predictor, Optimal slope-bins, Gradient, GAP
63Amirali Baniasadi, Andreas Moshovos SEPAS: a highly accurate energy-efficient branch predictor. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF high-performance processors, power-aware branch prediction, selective predictor access
63Nguyen Huu Cong, Karl Strehmel, Rüdiger Weiner, Helmut Podhaisky Runge-Kutta-Nyström-type parallel block predictor-corrector methods. Search on Bibsonomy Adv. Comput. Math. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Runge-Kutta-Nyström methods, predictor-corrector methods, 65M20, parallelism, stability, 65M12
63Daniel A. Jiménez Generalizing neural branch prediction. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF machine learning, Branch prediction
63Jan Petzold, Andreas Pietzowski, Faruk Bagci, Wolfgang Trumler, Theo Ungerer Prediction of Indoor Movements Using Bayesian Networks. Search on Bibsonomy LoCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
63Daniel A. Jiménez Piecewise Linear Branch Prediction. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
63Renju Thomas, Manoj Franklin, Chris Wilkerson, Jared Stark Improving Branch Prediction by Dynamic Dataflow-Based Identification of Correlated Branches from a Large Global History. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
63Bart Goeman, Hans Vandierendonck, Koenraad De Bosschere Differential FCM: Increasing Value Prediction Accuracy by Improving Table Usage Efficiency. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
60Vladimir Uzelac, Aleksandar Milenkovic Experiment flows and microbenchmarks for reverse engineering of branch predictor structures. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
60Amirali Baniasadi Power-Aware Branch Predictor Update for High-Performance Processors. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
60Martin Kämpe, Per Stenström, Michel Dubois 0001 The FAB Predictor: Using Fourier Analysis to Predict the Outcome of Conditional Branches. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Discrete Fourier Transform, Branch Prediction, Dynamically Scheduled Processors
60Lin-Cheng Wang, Syed A. Rizvi, Nasser M. Nasrabadi A modular neural network vector predictor for predictive image coding. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
60Dong-Soo Han, Manpyo Hong Performance Predictor for HPF Compilers. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
59José González 0002, Antonio González 0001 Control-Flow Speculation through Value Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF path-based selector, Branch prediction, superscalar processors, value prediction, hybrid predictor
59José González 0002, Antonio González 0001 Control-Flow Speculation through Value Prediction for Superscalar Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Path-based Selector, Branch Prediction, Value Prediction, Hybrid predictor
56Jong Wook Kwak, Seong Tae Jhang, Chu Shik Jhon History Length Adjustable gshare Predictor for High-Performance Embedded Processor. Search on Bibsonomy ICCSA (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF History Length Adjustment, gshare Predictor, Branch Prediction, Branch History
56Gabriel H. Loh A Simple Divide-and-Conquer Approach for Neural-Class Branch Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56Chunrong Lai, Shih-Lien Lu, Yurong Chen 0001, Trista Pei-Chun Chen Improving branch prediction accuracy with parallel conservative correctors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF confidence mechanism, branch prediction, corrector
56Dharmesh Parikh, Kevin Skadron, Yan Zhang 0028, Mircea R. Stan Power-Aware Branch Prediction: Characterization and Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF target prediction, highly-biased branches, pipeline gating, speculation control, Low-power design, power, branch prediction, processor architecture, energy-aware systems, banking
56Karel Driesen, Urs Hölzle Multi-stage Cascaded Prediction. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
55Michael Bekerman, Stéphan Jourdan, Ronny Ronen, Gilad Kirshenboim, Lihu Rappoport, Adi Yoaz, Uri C. Weiser Correlated Load-Address Predictors. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF context-based predictor, global correlation, load-address prediction, predictor implementation, recursive data structures
55Slaven Marusic, Guang Deng A Study of Two New Adaptive Predictors for Lossless Image Compression. Search on Bibsonomy ICIP (2) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF adaptive predictors, weighted median filter theory, median adaptive prediction technique, optimum prediction, image context, median predictor selection, context based predictor selection, image coding, context modelling, lossless image compression, DPCM
53Chih-Ching Hsiao, Shun-Feng Su An On-Line Fuzzy Predictor from Real-Time Data. Search on Bibsonomy FUZZ-IEEE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Jiajin Tu, Jian Chen 0030, Lizy K. John Hardware Efficient Piecewise Linear Branch Predictor. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
53Juan C. Moure, Domingo Benitez, Dolores Rexachs, Emilio Luque Wide and efficient trace prediction using the local trace predictor. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF high bandwidth fetch mechanism, branch prediction
49Ioana Burcea, Stephen Somogyi, Andreas Moshovos, Babak Falsafi Predictor virtualization. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF predictor virtualization, caches, metadata, memory hierarchy
49Ayose Falcón, Oliverio J. Santana, Alex Ramírez, Mateo Valero Tolerating Branch Predictor Latency on SMT. Search on Bibsonomy ISHPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF branch predictor delay, decoupled fetch, predictorpipelining, SMT
49Victor K. Y. Chan, W. Eric Wong Optimizing and Simplifying Software Metric Models Constructed Using Maximum Likelihood Methods. Search on Bibsonomy COMPSAC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF modeling, software metrics, maximum likelihood method
49J. Adam Butts, Gurindar S. Sohi Characterizing and predicting value degree of use. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
49Glenn Reinman, Brad Calder, Todd M. Austin Optimizations Enabled by a Decoupled Front-End Architecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF fetch architectures, branch prediction, Decoupled architectures, instruction prefetching
49Daniel A. Jiménez, Heather L. Hanson, Calvin Lin Boolean Formula-Based Branch Prediction for Future Technologies. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
49Jan Hoogerbrugge Dynamic Branch Prediction for a VLIW Processor. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
49Johannes Gehrke Data Mining with Decision Trees. Search on Bibsonomy ICDE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
49Edwin A. Hakkennes, Stamatis Vassiliadis Hardwired Paeth Codec for Portable Network Graphics (PNG). Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
48Martin Burtscher, Benjamin G. Zorn Hybrid Load-Value Predictors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load-value predictor, performance metrics, Value prediction, value locality, hybrid predictor
46Bo-Zong Wu, Angela Chih-Wei Tang Lossless Compression Using Joint Predictor for Astronomical Images. Search on Bibsonomy ISVC (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
46Changliang Xia, Gengming Gao Brushless DC Motors Control Based on Smith Predictor Modified by Fuzzy-PI Controller. Search on Bibsonomy FSKD (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
46Kaveh Aasaraai, Amirali Baniasadi A Power-Aware Alternative for the Perceptron Branch Predictor. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Po-Jen Chuang, Young-Tzong Hsiao, Yu-Shian Chiu An Efficient Value Predictor Dynamically Using Loop and Locality Properties. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF loop and locality properties, benchmarks, value prediction, prediction accuracy, hardware cost, experimental performance evaluation
46Mongkol Ekpanyapong, Pinar Korkmaz, Hsien-Hsin S. Lee Choice Predictor for Free. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Sung Woo Chung, Sung-Bae Park A Low Power Branch Predictor to Selectively Access the BTB. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
46Kah Phooi Seng, Zhihong Man, Hong Ren Wu Nonlinear and Noisy Time Series Prediction Using a Hybrid Nonlinear Neural Predictor. Search on Bibsonomy IDEAL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46Václav Hlavác, Jaroslav Fojtík Adaptive Predictor for Lossless Image Compression. Search on Bibsonomy Computing The full citation details ... 1999 DBLP  DOI  BibTeX  RDF AMS Subject Classifications: 68T10
46Enric Morancho, José M. Llabería, Àngel Olivé Split Last-Address Predictor. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF speculative execution, dynamic classification, Address prediction
45Sunwook Kim, Eutteum Jo, Hyungshin Kim Low Power Branch Predictor for Embedded Processors. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bimodal predictor, low power, embedded processor, power reduction, branch predictor
43Amirali Baniasadi, Andreas Moshovos Branch Predictor Prediction: A Power-Aware Branch Predictor for High-Performance Processors. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Anthony S. Fong, C. Y. Ho Global/Local Hashed Perceptron Branch Prediction. Search on Bibsonomy ITNG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF neural networks, hashing, branch prediction, perceptrons
42Resit Sendag, Joshua J. Yi, Peng-fei Chuang Branch Misprediction Prediction: Complementary Branch Predictors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Sivan Sabato, Shai Shalev-Shwartz Prediction by Categorical Features: Generalization Properties and Application to Feature Ranking. Search on Bibsonomy COLT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Daniel A. Jiménez, Gabriel H. Loh Controlling the Power and Area of Neural Branch Predictors for Practical Implementation in High-Performance Processors. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Daniel A. Jiménez Improved latency and accuracy for neural branch prediction. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF machine learning, Branch prediction
42David Tarjan, Kevin Skadron Merging path and gshare indexing in perceptron branch prediction. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF two-level predictors, neural networks, Branch prediction
42Sung-Hoon Shim, Jong Wook Kwak, Cheol Hong Kim, Sung Tae Jhang, Chu Shik Jhon Power-Aware Branch Logic: A Hardware Based Technique for Filtering Access to Branch Logic. Search on Bibsonomy SAMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
42Rongshan Yu, Chi Chung Ko Lossless compression of digital audio using cascaded RLS-LMS prediction. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Daniel A. Jiménez Reconsidering Complex Branch Predictors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Colin Egan, Gordon B. Steven, Lucian N. Vintan Cached Two-Level Adaptive Branch Predictors with Multiple Stages. Search on Bibsonomy ARCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42Boris Aronov, Hervé Brönnimann, Allen Y. Chang, Yi-Jen Chiang Cost prediction for ray shooting. Search on Bibsonomy SCG The full citation details ... 2002 DBLP  DOI  BibTeX  RDF average performance, space decomposition, cost model, octree, ray shooting, cost prediction
42Alex Ramírez, Josep Lluís Larriba-Pey, Mateo Valero Branch Prediction Using Profile Data. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Wencai Du, Feng Du New Smith Predictor and FRBF Neural Network Control for Networked Control Systems. Search on Bibsonomy ACIS-ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
39Nitya Ranganathan, Doug Burger, Stephen W. Keckler Analysis of the TRIPS prototype block predictor. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
39Karel Slaný Branch predictor on-line evolutionary system. Search on Bibsonomy GECCO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF finite automata predictors, branch prediction
39Norashidah Md Din, Norsheila Fisal Fuzzy Logic Token Bucket Bandwidth Predictor for Assured Forwarding Traffic in a DiffServ-Aware MPLS Internet. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
39Kaveh Aasaraai, Amirali Baniasadi, Ehsan Atoofian Computational and storage power optimizations for the O-GEHL branch predictor. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF O-GEHL, power-aware microarchitectures, branch prediction
39Takashi Yokota, Kanemitsu Ootsu, Takanobu Baba Introducing entropies for representing program behavior and branch predictor performance. Search on Bibsonomy Experimental Computer Science The full citation details ... 2007 DBLP  DOI  BibTeX  RDF architecture, information entropy, program behavior, prediction performance, branch predictors
39Nicholas Riley, Craig B. Zilles Probabilistic counter updates for predictor hysteresis and bias. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Athanassios G. Bratsos A modified predictor-corrector scheme for the two-dimensional sine-Gordon equation. Search on Bibsonomy Numer. Algorithms The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mathematics Subject Classifications (2000) 35Q51, 35Q53, 78M20, 65Y10, 65M06
39Yefu Wang, Yi Shen Stock Predictor Algorithm: A Control Method Dealing With Distributed Control Systems. Search on Bibsonomy CIMCA/IAWTIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Arindam Banerjee, Xin Guo 0001, Hui Wang 0003 On the optimality of conditional expectation as a Bregman predictor. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Matteo Monchiero, Gianluca Palermo The Combined Perceptron Branch Predictor. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39R. Thamvichai, Tamal Bose, Miloje S. Radenkovic Multiplierless predictor for DPCM of images. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Andreas Glaser, Vladimir Rokhlin A New Class of Highly Accurate Solvers for Ordinary Differential Equations. Search on Bibsonomy J. Sci. Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Predictor-corrector, Multi-step methods, Exponentially fitted methods, Ordinary differential equations
38Vijay S. Rajpurohit, M. M. Manohara Pai An Optimized Fuzzy Based Short Term Object Motion Prediction for Real-Life Robot Navigation Environment. Search on Bibsonomy VISUAL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Short Term Motion Prediction, Rule base Optimization, Directional Space, Fuzzy Predictor Algorithm, Defuzzification, Fuzzy Rule base
38Ioan Tabus, Jorma Rissanen, Jaakko Astola Adaptive L-Predictors Based on Finite State Machine Context Selection. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF adaptive L-predictors, finite state machine context selection, adaptive nonlinear predictors, FSM context modeller, adaptive design, FSM-context L-predictor, image coding, lossless compression, gray level images
35Matthias Kirchner Fast and reliable resampling detection by spectral analysis of fixed linear predictor residue. Search on Bibsonomy MM&Sec The full citation details ... 2008 DBLP  DOI  BibTeX  RDF periodic artifacts, predictor residue, resampling detection, tamper detection, digital image forensics
35Yixin Shi, Gyungho Lee Augmenting Branch Predictor to Secure Program Execution. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Control Flow Validation, Indirect Branch, Bloom Filter, Software Protection, Branch Predictor
35Pauline Sourdille, Aidan O'Dwyer A new modified Smith predictor design. Search on Bibsonomy ISICT The full citation details ... 2003 DBLP  BibTeX  RDF Smith predictor, dead-time compensator
35Jiawang Nie, Ya-Xiang Yuan A Predictor-Corrector Algorithm for QSDP Combining Dikin-Type and Newton Centering Steps. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF quadratic term, predictor step, corrector step, Dikin-type step, Newton centering step, potential function, semi-definite programming, central path
35Tim Menzies, Markland Benson, Ken Costello, Christina Moats, Melissa Northey, Julian Richardson Learning better IV&V practices. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IV? Data mining, Early life cycle defect prediction, NASA
35Resit Sendag, Joshua J. Yi, Peng-fei Chuang, David J. Lilja Low power/area branch prediction using complementary branch predictors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Binwei Weng, Tuncer C. Aysal, Kenneth E. Barner Polynomial Weighted Median Image Sequence Prediction. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Mike P. Hanias, Dimitrios A. Karras Efficient Non Linear Time Series Prediction Using Non Linear Signal Analysis and Neural Networks in Chaotic Diode Resonator Circuits. Search on Bibsonomy ICDM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-linear signal analysis, diode, neural networks, prediction, prediction, time series, chaos, correlation dimension
35Christopher Kiekintveld, Jason Miller, Patrick R. Jordan, Michael P. Wellman Forecasting market prices in a supply chain game. Search on Bibsonomy AAMAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF machine learning, supply chain management, forecasting, markets, trading agent competition, price prediction
35Binwei Weng, Tuncer C. Aysal, Kenneth E. Barner Polynomial Weighted Median Predictors for Image Sequences. Search on Bibsonomy ICIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Dong-Chul Park 0002, Chung-Nguyen Tran, Young-Soo Song, Yunsik Lee Prediction of MPEG Video Source Traffic Using BiLinear Recurrent Neural Networks. Search on Bibsonomy PRICAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Jan Petzold, Faruk Bagci, Wolfgang Trumler, Theo Ungerer Hybrid Predictors for Next Location Prediction. Search on Bibsonomy UIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Yong Xiao, Xing-Ming Zhou Performance Evaluation of Data Value Prediction Schemes. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data value predictors, simulation, performance impact
35Yong Xiao, Xingming Zhou, Kun Deng Making Power-Efficient Data Value Predictions. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 4048 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license