|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1005 occurrences of 741 keywords
|
|
|
Results
Found 7562 publication records. Showing 6528 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
153 | Gurhan Kucuk, Dmitry Ponomarev 0001, Oguz Ergin, Kanad Ghose |
Complexity-Effective Reorder Buffer Designs for Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(6), pp. 653-665, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
138 | Gurhan Kucuk, Dmitry Ponomarev 0001, Kanad Ghose |
Low-complexity reorder buffer architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 16th international conference on Supercomputing, ICS 2002, New York City, NY, USA, June 22-26, 2002, pp. 57-66, 2002, ACM, 1-58113-483-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
low-complexity datapath, low-power design, reorder buffer |
107 | Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose |
Energy-Efficient Design of the Reorder Buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit Design. Power and Timing Modeling, Optimization and Simulation, 12th International Workshop, PATMOS 2002, Seville, Spain, September 11-13, 2002, pp. 289-299, 2002, Springer, 3-540-44143-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
92 | Gurhan Kucuk, Oguz Ergin, Dmitry Ponomarev 0001, Kanad Ghose |
Distributed Reorder Buffer Schemes for Low Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 21st International Conference on Computer Design (ICCD 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings, pp. 364-370, 2003, IEEE Computer Society, 0-7695-2025-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
86 | Patrick Akl, Andreas Moshovos |
Turbo-ROB: A Low Cost Checkpoint/Restore Accelerator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Third International Conference, HiPEAC 2008, Göteborg, Sweden, January 27-29, 2008, Proceedings, pp. 258-272, 2008, Springer, 978-3-540-77559-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
76 | Chengmo Yang, Alex Orailoglu |
Power-efficient instruction delivery through trace reuse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 15th International Conference on Parallel Architectures and Compilation Techniques (PACT 2006), Seattle, Washington, USA, September 16-20, 2006, pp. 192-201, 2006, ACM, 1-59593-264-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
adaptive processor, low-power design, instruction delivery |
76 | Gurhan Kucuk, Dmitry Ponomarev 0001, Oguz Ergin, Kanad Ghose |
Reducing reorder buffer complexity through selective operand caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 235-240, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
low-complexity datapath, short-lived values, low-power design, reorder buffer |
71 | Seungjin Park, Roopesh R. Palasdeokar |
Reliable one-hop broadcasting (ROB) in mobile ad hoc networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PE-WASUN ![In: Proceedings of the 2nd ACM International Workshop on Performance Evaluation of Wireless Ad Hoc, Sensor, and Ubiquitous Networks, PE-WASUN 2005, Montreal, Quebec, Canada, October 10-13, 2005, pp. 234-237, 2005, ACM, 1-59593-182-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
packet collision, ad hoc networks, broadcasting, reliable communication |
61 | Jason Loew, Dmitry Ponomarev 0001 |
Two-Level Reorder Buffers: Accelerating Memory-Bound Applications on SMT Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2008 International Conference on Parallel Processing, ICPP 2008, September 8-12, 2008, Portland, Oregon, USA, pp. 182-189, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
55 | John O'Leary, Marly Roncken |
Rob Tristan Gerth: 1956?2003. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAV ![In: Computer Aided Verification, 16th International Conference, CAV 2004, Boston, MA, USA, July 13-17, 2004, Proceedings, pp. 1-14, 2004, Springer, 3-540-22342-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
49 | Rénald Gaboriau |
Les ateliers Rob'Autisme : Le robot extension comme médiation thérapeutique auprès des personnes présentant un trouble du spectre autistique. (The Rob'Autism groups : the extension robot as a therapeutic mediator for people with Autism Spectrum Disorder). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2020 |
RDF |
|
49 | Alice Robbin, Ron Day |
On Rob Kling: The Theoretical, the Methodological, and the Critical. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCC ![In: Social Informatics: An Information Society for all? In Remembrance of Rob Kling - Proceedings of the Seventh International Conference on Human Choice and Computers (HCC7), IFIP TC 9, Maribor, Slovenia, September 21-23, 2006, pp. 25-36, 2006, Springer, 978-0-387-37875-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
49 | Barry Wellman, Starr Roxanne Hiltz |
Sociological Rob: How Rob Kling Brought Computing and Sociology Together. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Soc. ![In: Inf. Soc. 20(2), pp. 91-95, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
46 | Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López 0001, José Duato |
VB-MT: Design Issues and Performance of the Validation Buffer Microarchitecture for Multithreaded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 429, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
46 | Dmitry V. Ponomarev, Gurhan Kucuk, Kanad Ghose |
Dynamic Resizing of Superscalar Datapath Components for Energy Efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(2), pp. 199-213, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
energy-efficient datapath, Superscalar processor, power reduction, dynamic instruction scheduling |
46 | Nevin Kirman, Meyrem Kirman, Mainak Chaudhuri, José F. Martínez |
Checkpointed Early Load Retirement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 11th International Conference on High-Performance Computer Architecture (HPCA-11 2005), 12-16 February 2005, San Francisco, CA, USA, pp. 16-27, 2005, IEEE Computer Society, 0-7695-2275-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
46 | Enric Morancho, José María Llabería, Àngel Olivé |
A Mechanism for Verifying Data Speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2004 Parallel Processing, 10th International Euro-Par Conference, Pisa, Italy, August 31-September 3, 2004, Proceedings, pp. 525-534, 2004, Springer, 3-540-22924-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
46 | Dmitry Ponomarev 0001, Gurhan Kucuk, Kanad Ghose |
Reducing power requirements of instruction scheduling through dynamic allocation of multiple datapath resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001, pp. 90-101, 2001, ACM/IEEE Computer Society, 0-7695-1369-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
energy-efficient datapath, superscalar processor, power reduction, dynamic instruction scheduling |
40 | Wann-Yun Shieh, Hsin-Dar Chen |
Saving Register-File Leakage Power by Monitoring Instruction Sequence in ROB. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC Workshops ![In: Emerging Directions in Embedded and Ubiquitous Computing, EUC 2006 Workshops: NCUS, SecUbiq, USN, TRUST, ESO, and MSA, Seoul, Korea, August 1-4, 2006, Proceedings, pp. 765-774, 2006, Springer, 3-540-36850-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
register leakage power, high-end embedded processor, dynamic voltage scaling (DVS), reorder buffer |
37 | Dene Grigar, Rob Swigart |
Hypertextuality and Virtual Reality: Translating Hypertext Functionality in Rob Swigart's Portal for the VR Game, DATA ENTRY: PORTAL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HT ![In: Proceedings of the 34th ACM Conference on Hypertext and Social Media, HT 2023, Rome, Italy, September 4-8, 2023, pp. 5:1-5:2, 2023, ACM. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
37 | Rob van der Goot, Gertjan van Noord |
ROB: Using Semantic Meaning to Recognize Paraphrases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SemEval@NAACL-HLT ![In: Proceedings of the 9th International Workshop on Semantic Evaluation, SemEval@NAACL-HLT 2015, Denver, Colorado, USA, June 4-5, 2015, pp. 40-44, 2015, The Association for Computer Linguistics, 978-1-941643-40-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
37 | Rob MacGregor |
AJIS Founding Editor, Rob Macgregor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Australas. J. Inf. Syst. ![In: Australas. J. Inf. Syst. 13(2), 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP BibTeX RDF |
|
31 | Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López 0001 |
Paired ROBs: A Cost-Effective Reorder Buffer Sharing Strategy for SMT Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2009 Parallel Processing, 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009. Proceedings, pp. 309-320, 2009, Springer, 978-3-642-03868-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | |
Achieving flexible task delegation in role-based agent teams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: Proceedings of the IEEE International Conference on Systems, Man and Cybernetics, Montréal, Canada, 7-10 October 2007, pp. 3801-3806, 2007, IEEE, 978-1-4244-0990-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Alan Rosenthal |
Redesign solution for civicinfo bc web site. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGDOC ![In: Proceedings of the 25th Annual International Conference on Design of Communication, SIGDOC 2007, El Paso, Texas, USA, October 22-24, 2007, pp. 269-274, 2007, ACM, 978-1-59593-588-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
classification, user experience, ranking, retrieval, heuristic evaluation, contextual inquiry, remote usability testing, search results |
31 | Chen Liu 0001, Jean-Luc Gaudiot |
Static Partitioning vs Dynamic Sharing of Resources in Simultaneous MultiThreading Microarchitectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 6th International Workshop, APPT 2005, Hong Kong, China, October 27-28, 2005, Proceedings, pp. 81-90, 2005, Springer, 3-540-29639-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Marek Chrobak |
SIGACT news online algorithms column 4. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGACT News ![In: SIGACT News 35(3), pp. 58-66, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Dominic Osborne, Derek Abbott, Matthew Sorell, Derek Rogers |
Multiple embedding using robust watermarks for wireless medical images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MUM ![In: Proceedings of the 3rd International Conference on Mobile and Ubiquitous Multimedia, MUM 2004, College Park, Maryland, USA, October 27-29, 2004, pp. 245-250, 2004, ACM, 1-58113-981-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
authentication, medical images, semi-fragile watermarking |
31 | Adrián Cristal, Daniel Ortega, Josep Llosa, Mateo Valero |
Out-of-Order Commit Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 10th International Conference on High-Performance Computer Architecture (HPCA-10 2004), 14-18 February 2004, Madrid, Spain, pp. 48-59, 2004, IEEE Computer Society, 0-7695-2053-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | José F. Martínez, Jose Renau, Michael C. Huang 0001, Milos Prvulovic, Josep Torrellas |
Cherry: checkpointed early resource recycling in out-of-order microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 35th Annual International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22, 2002, pp. 3-14, 2002, ACM/IEEE Computer Society, 0-7695-1859-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
29 | Adrián Cristal, Oliverio J. Santana, Francisco J. Cazorla, Marco Galluzzi, Tanausú Ramírez, Miquel Pericàs, Mateo Valero |
Kilo-Instruction Processors: Overcoming the Memory Wall. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 25(3), pp. 48-57, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
in-flight instructions, ROB, superscalar processors, memory wall, issue queue, Kilo-instruction processors |
29 | Marco Galluzzi, Ramón Beivide, Valentin Puente, José-Ángel Gregorio, Adrián Cristal, Mateo Valero |
Evaluating kilo-instruction multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMPI ![In: Proceedings of the 3rd Workshop on Memory Performance Issues, in conjunction with the 31st International Symposium on Computer Architecture 2004, Munich, Germany, June 20, 2004, pp. 72-79, 2004, ACM, 1-59593-040-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
ROB, shared-memory multiprocessors, CC-NUMA, memory wall, instruction window, kilo-instruction processors |
29 | Marco Galluzzi, Valentin Puente, Adrián Cristal, Ramón Beivide, José-Ángel Gregorio, Mateo Valero |
A first glance at Kilo-instruction based multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the First Conference on Computing Frontiers, 2004, Ischia, Italy, April 14-16, 2004, pp. 212-221, 2004, ACM, 1-58113-741-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
ROB, in-flight instructions, shared-memory multiprocessors, CC-NUMA, memory wall, instruction window, Kilo-instruction processors |
28 | Seymour Rubinstein |
Recollections: The Rise and Fall of WordStar. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Ann. Hist. Comput. ![In: IEEE Ann. Hist. Comput. 28(4), pp. 64-72, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Sanders Associates, MicroPro International, IMSAI, WYSIWYG (What you see is what you get), QuattroPro, Bill Millard, Rob Barnaby, Prompt Software, InterTrust, WordStar |
28 | Aamer Jaleel, Bruce L. Jacob |
In-Line Interrupt Handling and Lock-Up Free Translation Lookaside Buffers (TLBs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(5), pp. 559-574, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Reorder-buffer (ROB), exception handlers, in-line interrupt, lock-up free, translation lookaside buffers (TLBs), performance modeling, precise interrupts |
28 | John Harrison 0001, Konrad Slind, Rob Arthan |
HOL. ![Search on Bibsonomy](Pics/bibsonomy.png) |
The Seventeen Provers of the World ![In: The Seventeen Provers of the World, Foreword by Dana S. Scott, pp. 11-19, 2006, Springer, 3-540-30704-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Tim Leamy, Rob Smith, Allan Chen |
BITS based imaging process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGUCCS ![In: Proceedings of the 34th Annual ACM SIGUCCS Conference on User Services 2006, Edmonton, Alberta, Canada, November 5-8, 2006, pp. 191-195, 2006, ACM, 1-59593-438-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
imaging, windows, software management, ghost |
28 | Barry Kort, Rob Reilly, Rosalind W. Picard |
An Affective Model of Interplay between Emotions and Learning: Reengineering Educational Pedagogy - Building a Learning Companion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALT ![In: Proceedings IEEE International Conference on Advanced Learning Technology: Issues, Achievements and Challenges, Madison, WI, USA, August 6-8, 2001, pp. 43-48, 2001, IEEE Computer Society, 0-7695-1013-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
25 | Rob Grzywinski, Joshua D'Arcy, Rob Naidoff, Ashish Shukla, Alex Browne, Ren Gibbons, Brinnae Bent |
Releasing the CRaQAn (Coreference Resolution in Question-Answering): An open-source dataset and dataset creation methodology using instruction-following models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2311.16338, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Fatemeh Almodaresi, Jamshed Khan, Sergey Madaminov, Michael Ferdman, Rob Johnson, Prashant Pandey 0001, Rob Patro |
An incrementally updatable and scalable system for large-scale sequence search using the Bentley-Saxe transformation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Bioinform. ![In: Bioinform. 38(12), pp. 3155-3163, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Massimiliano Assante, Alice Boizet, Leonardo Candela, Donatella Castelli, Roberto Cirillo, Gianpaolo Coro, Enol Fernández, Matthias Filter, Luca Frosini, Teodor Georgiev, George Kakaletris, Panagis Katsivelis, Rob Knapen, Lucio Lelii, Rob M. Lokers, Francesco Mangiacrapa, Nikos Manouselis, Pasquale Pagano, Giancarlo Panichi, Lyubomir Penev, Fabio Sinibaldi |
Realizing virtual research environments for the agri-food community: The AGINFRA PLUS experience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Comput. Pract. Exp. ![In: Concurr. Comput. Pract. Exp. 33(19), 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Gordon S. Blair, Richard Bassett, Lucy Bastin, Lindsay Beevers, Maribel Isabel Borrajo, Mike Brown, Sarah L. Dance, Ada Dionescu, Liz Edwards, Maria Angela Ferrario, Rob Fraser, Harriet Fraser, Simon Gardner, Peter A. Henrys, Tony Hey, Stuart Homann, Chantal Huijbers, James Hutchison, Phil Jonathan, Rob Lamb, Sophie Laurie, Amber Leeson, David Leslie, Malcolm McMillan, Vatsala Nundloll, Oluwole K. Oyebamiji, Jordan Phillipson, Vicky Pope, Rachel Prudden, Stefan Reis, Maria Salama, Faiza Samreen, Dino Sejdinovic, Will Simm, Roger Street, Lauren Thornton, Ross Towe, Joshua Vande Hey, Massimo Vieno, Joanne A. Waller, John Watkins |
The Role of Digital Technologies in Responding to the Grand Challenges of the Natural Environment: The Windermere Accord. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Patterns ![In: Patterns 2(1), pp. 100156, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Megha Davalath, Terran Boylan, Rob O'Neill, Rob Vogt |
Wig: The Hair Story From Shrek 2 to The Croods: A New Age. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH Talks ![In: SIGGRAPH 2021: Special Interest Group on Computer Graphics and Interactive Techniques Conference, Talks, Virtual Event, USA, August 9-13, 2021., pp. 36:1-36:2, 2021, ACM, 978-1-4503-8373-8. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Rob Worley, Ke Ma 0011, Gavin Sailor, Michele M. Schirru, Rob Dwyer-Joyce, Joby B. Boxall, Tony J. Dodd, Richard Collins, Sean R. Anderson |
Robot Localization in Water Pipes Using Acoustic Signals and Pose Graph Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 20(19), pp. 5584, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | Fatemeh Almodaresi, Prashant Pandey 0001, Michael Ferdman, Rob Johnson, Rob Patro |
An Efficient, Scalable, and Exact Representation of High-Dimensional Color Information Enabled Using de Bruijn Graph Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Biol. ![In: J. Comput. Biol. 27(4), pp. 485-499, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | Massimiliano Assante, Alice Boizet, Leonardo Candela, Donatella Castelli, Roberto Cirillo, Gianpaolo Coro, Enol Fernández-del-Castillo, Matthias Filter, Luca Frosini, George Kakaletris, Panagis Katsivelis, Rob Knapen, Lucio Lelii, Rob Lokers, Francesco Mangiacrapa, Pasquale Pagano, Giancarlo Panichi, Lyubomir Penev, Fabio Sinibaldi, Panagiotis Zervas |
Realising a Science Gateway for the Agri-food: the AGINFRAplus Experience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWSG ![In: Proceedings of the 11th International Workshop on Science Gateways, Ljubljana, Slovenia, 12-14 June, 2019., 2019, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
25 | Fatemeh Almodaresi, Prashant Pandey 0001, Michael Ferdman, Rob Johnson, Rob Patro |
An Efficient, Scalable and Exact Representation of High-Dimensional Color Information Enabled via de Bruijn Graph Search. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RECOMB ![In: Research in Computational Molecular Biology - 23rd Annual International Conference, RECOMB 2019, Washington, DC, USA, May 5-8, 2019, Proceedings, pp. 1-18, 2019, Springer, 978-3-030-17082-0. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
25 | David Tellez, Maschenka Balkenhol, Irene Otte-Höller, Rob van de Loo, Rob Vogels, Peter Bult, Carla Wauters, Willem Vreuls, Suzanne Mol, Nico Karssemeijer, Geert Litjens 0001, Jeroen van der Laak, Francesco Ciompi |
Whole-Slide Mitosis Detection in H&E Breast Histology Using PHH3 as a Reference to Train Distilled Stain-Invariant Convolutional Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Medical Imaging ![In: IEEE Trans. Medical Imaging 37(9), pp. 2126-2136, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
25 | Prashant Pandey 0001, Michael A. Bender, Rob Johnson, Rob Patro |
Squeakr: an exact and approximate k-mer counting system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Bioinform. ![In: Bioinform. 34(4), pp. 568-575, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
25 | David Tellez, Maschenka Balkenhol, Irene Otte-Höller, Rob van de Loo, Rob Vogels, Peter Bult, Carla Wauters, Willem Vreuls, Suzanne Mol, Nico Karssemeijer, Geert Litjens 0001, Jeroen van der Laak, Francesco Ciompi |
Whole-Slide Mitosis Detection in H&E Breast Histology Using PHH3 as a Reference to Train Distilled Stain-Invariant Convolutional Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1808.05896, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
25 | Prashant Pandey 0001, Fatemeh Almodaresi, Michael A. Bender, Michael Ferdman, Rob Johnson, Rob Patro |
Mantis: A Fast, Small, and Exact Large-Scale Sequence-Search Index. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RECOMB ![In: Research in Computational Molecular Biology - 22nd Annual International Conference, RECOMB 2018, Paris, France, April 21-24, 2018, Proceedings, pp. 271-273, 2018, Springer, 978-3-319-89928-2. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
25 | Prashant Pandey 0001, Michael A. Bender, Rob Johnson, Rob Patro |
deBGR: an efficient and near-exact representation of the weighted de Bruijn graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Bioinform. ![In: Bioinform. 33(14), pp. i133-i141, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Prashant Pandey 0001, Michael A. Bender, Rob Johnson, Rob Patro |
A General-Purpose Counting Filter: Making Every Bit Count. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 2017 ACM International Conference on Management of Data, SIGMOD Conference 2017, Chicago, IL, USA, May 14-19, 2017, pp. 775-787, 2017, ACM, 978-1-4503-4197-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Andy Dow, John Vines, Toby Lowe, Rob Comber, Rob Wilson |
What Happens to Digital Feedback?: Studying the Use of a Feedback Capture Platform by Care Organisations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2017 CHI Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017., pp. 5813-5825, 2017, ACM, 978-1-4503-4655-9. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Rob Lokers, Rob Knapen, Sander Janssen, Yke van Randen, Jacques Jansen |
Analysis of Big Data technologies for use in agro-environmental science. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Environ. Model. Softw. ![In: Environ. Model. Softw. 84, pp. 494-504, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
25 | Andy Dow, John Vines, Rob Comber, Rob Wilson |
ThoughtCloud: Exploring the Role of Feedback Technologies in Care Organisations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI ![In: Proceedings of the 2016 CHI Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016, pp. 3625-3636, 2016, ACM, 978-1-4503-3362-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
25 | Rob Lokers, Yke van Randen, Rob Knapen, Stephan Gaubitzer, Sergey Zudin, Sander Janssen |
Improving Access to Big Data in Agriculture and Forestry Using Semantic Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTSR ![In: Metadata and Semantics Research - 9th Research Conference, MTSR 2015, Manchester, UK, September 9-11, 2015, Proceedings, pp. 369-380, 2015, Springer, 978-3-319-24128-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
25 | Allan Porterfield, Rob Fowler, Sridutt Bhalachandra, Barry Rountree, Diptorup Deb, Rob Lewis |
Application Runtime Variability and Power Optimization for Exascale Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ROSS@HPDC ![In: Proceedings of the 5th International Workshop on Runtime and Operating Systems for Supercomputers, ROSS 2015, Portland, OR, USA, June 16, 2015, pp. 3:1-3:8, 2015, ACM, 978-1-4503-3606-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
25 | César A. López Martínez, Ferry Schoenmakers, Gerrit J. L. Naus, Koen Meessen, Yanick Douven, Harrie van de Loo, Dennis Bruijnen, Wouter H. T. M. Aangenent, Joost Groenen, Bob van Ninhuijs, Matthias Briegel, Rob Hoogendijk, Patrick van Brakel, Rob van den Berg, Okke Hendriks, René Arts, Frank Botden, Wouter Houtman, Marjon van't Klooster, Jeroen van der Velden, Camiel Beeren, Lotte de Koning, Olaf Klooster, Robin Soetens, René van de Molengraft |
Tech United Eindhoven, Winner RoboCup 2014 MSL - Middle Size League. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RoboCup ![In: RoboCup 2014: Robot World Cup XVIII [papers from the 18th Annual RoboCup International Symposium, João Pessoa, Brazil, July 15, pp. 60-69, 2014, Springer, 978-3-319-18614-6. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
25 | Phil Copeland, Rob Goode, Rob van Engelshoven, Marc van Selm, Michael Winkler |
Standardizing Network transit in NATO coalition networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MILCOM ![In: MILCOM 2011 - 2011 IEEE Military Communications Conference, Baltimore, MD, USA, November 7-10, 2011, pp. 2031-2035, 2011, IEEE, 978-1-4673-0079-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
25 | Ricardo Berto-Monleon, Enrico Casini, Rob van Engelshoven, Rob Goode, Klaus-Dieter Tuchs, Tamas Halmai |
Specification of a Policy Based Network Management architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MILCOM ![In: MILCOM 2011 - 2011 IEEE Military Communications Conference, Baltimore, MD, USA, November 7-10, 2011, pp. 1393-1398, 2011, IEEE, 978-1-4673-0079-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
25 | Nii-Adotei Parker-Allotey, Olayiwola Alatise, Dean Hamilton, Michael R. Jennings, Phil Mawby, Rob Nash, Rob Magill |
Conduction and switching loss comparison between an IGBT/Si-PiN diode pair and an IGBT/SiC-Schottky diode pair. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISGT Europe ![In: 2nd IEEE PES International Conference and Exhibition on `Innovative Smart Grid Technologies`, ISGT Europe 2011, Manchester, United Kingdom, December 5-7, 2011, pp. 1-6, 2011, IEEE, 978-1-4577-1422-1. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
25 | Rob Raven, Suzanne Van den Bosch, Rob Weterings |
Transitions and strategic niche management: towards a competence kit for practitioners. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Technol. Manag. ![In: Int. J. Technol. Manag. 51(1), pp. 57-74, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
25 | Hendrik Drachsler, Hans G. K. Hummel, Bert van den Berg, Jannes Eshuis, Wim Waterink, Rob Nadolski, Adriana J. Berlanga, Nanda Boers, Rob Koper |
Effects of the ISIS Recommender System for Navigation Support in self-organised Learning Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Educ. Technol. Soc. ![In: J. Educ. Technol. Soc. 12(3), pp. 115-126, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
25 | Rob Nadolski, Bert van den Berg, Adriana J. Berlanga, Hendrik Drachsler, Hans G. K. Hummel, Rob Koper, Peter B. Sloep |
Simulating Light-Weight Personalised Recommender Systems in Learning Networks: A Case for Pedagogy-Oriented and Rating-Based Hybrid Recommendation Strategies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Artif. Soc. Soc. Simul. ![In: J. Artif. Soc. Soc. Simul. 12(1), 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
25 | Hans G. K. Hummel, Bert van den Berg, Adriana J. Berlanga, Hendrik Drachsler, José Janssen, Rob Nadolski, Rob Koper |
Individualised Navigation Services in Learning Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Learning Network Services for Professional Development ![In: Learning Network Services for Professional Development, pp. 75-93, 2009, Springer, 978-3-642-00977-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Rob Nadolski, Bert van den Berg, Adriana J. Berlanga, Hans G. K. Hummel, Hendrik Drachsler, Rob Koper, Peter B. Sloep |
How to Set Up Simulations for Designing Light-Weight Personalised Recommender Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Learning Network Services for Professional Development ![In: Learning Network Services for Professional Development, pp. 115-150, 2009, Springer, 978-3-642-00977-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Hendrik Drachsler, Hans G. K. Hummel, Bert van den Berg, Jannes Eshuis, Wim Waterink, Rob Nadolski, Adriana J. Berlanga, Nanda Boers, Rob Koper |
Evaluating the Effectiveness of Personalised Recommender Systems in Learning Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Learning Network Services for Professional Development ![In: Learning Network Services for Professional Development, pp. 95-113, 2009, Springer, 978-3-642-00977-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Frans J. Prins, Rob Nadolski, Adriana J. Berlanga, Hendrik Drachsler, Hans G. K. Hummel, Rob Koper |
Competence Description for Personal Recommendations: The Importance of Identifying the Complexity of Learning and Performance Situations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Educ. Technol. Soc. ![In: J. Educ. Technol. Soc. 11(3), pp. 141-152, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
|
25 | Hubert Vogten, Harrie Martens, Rob Nadolski, Colin Tattersall, Peter van Rosmalen, Rob Koper |
CopperCore service integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Interact. Learn. Environ. ![In: Interact. Learn. Environ. 15(2), pp. 171-180, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Hans G. K. Hummel, Bert van den Berg, Adriana J. Berlanga, Hendrik Drachsler, José Janssen, Rob Nadolski, Rob Koper |
Combining social-based and information-based approaches for personalised recommendation on sequencing learning activities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Learn. Technol. ![In: Int. J. Learn. Technol. 3(2), pp. 152-168, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Steve A. Chien, Benjamin Cichy, Ashley Davies, Daniel Tran, Gregg R. Rabideau, Rebecca Castaño, Rob Sherwood, Son V. Nghiem, Ronald Greeley, Thomas Doggett, Victor R. Baker, James M. Dohm, Felipe Ip, Dan Mandl, Stuart Frye, Seth Shulman, Stephen G. Ungar, Thomas Brakke, Lawrence Ong, Jacques Descloitres, Jeremy Jones, Sandy Grosvenor, Rob Wright, Luke Flynn, Andy Harris, G. Robert Brakenridge, Sebastien Cacquard |
An Autonomous Earth Observing Sensorweb. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SUTC (1) ![In: IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing (SUTC 2006), 5-7 June 2006, Taichung, Taiwan, pp. 178-185, 2006, IEEE Computer Society, 0-7695-2553-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Spacecraft Autonomy, Artificial Intelligence, Remote Sensing |
25 | Rob Nadolski, Owen O'Neill, Wim van der Vegt, Rob Koper |
Conformance Testing, the Elixer within the Chain for Learning Scenarios and Objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALT ![In: Proceedings of the 6th IEEE International Conference on Advanced Learning Technologies, ICALT 2006, Kerkrade, The Netherlands, July 5-7, 2006, pp. 363-365, 2006, IEEE Computer Society, 0-7695-2632-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Hubert Vogten, Harrie Martens, Rob Nadolski, Colin Tattersall, Peter van Rosmalen, Rob Koper |
CopperCore Service Integration - Integrating IMS Learning Design and IMS Question and Test Interoperability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICALT ![In: Proceedings of the 6th IEEE International Conference on Advanced Learning Technologies, ICALT 2006, Kerkrade, The Netherlands, July 5-7, 2006, pp. 378-382, 2006, IEEE Computer Society, 0-7695-2632-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Egbert G. T. Jaspers, Rob G. J. Wijnhoven, Rob Albers, Jan Nesvadba, Johan Lukkien, Alexander Sinitsyn, Xavier Desurmont, P. Pietarila, J. Palo, R. Truyen |
CANDELA - Storage, Analysis and Retrieval of Video Content in Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Adaptive Multimedia Retrieval ![In: Adaptive Multimedia Retrieval: User, Context, and Feedback, Third International Workshop, AMR 2005, Glasgow, UK, July 28-29, 2005, Revised Selected Papers, pp. 112-127, 2005, Springer, 3-540-32174-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Hans De Sterck, Rob S. Markel, Rob Knight 0001 |
A Lightweight, Scalable Grid Computing Framework for Parallel Bioinformatics Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: 19th Annual International Symposium on High Performance Computing Systems and Applications (HPCS 2005), 15-18 May 2005, Guelph, Ontario, Canada, pp. 251-257, 2005, IEEE Computer Society, 0-7695-2343-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Steve A. Chien, Benjamin Cichy, Ashley Davies, Daniel Tran, Gregg R. Rabideau, Rebecca Castaño, Rob Sherwood, Son V. Nghiem, Ronald Greeley, Thomas Doggett, Victor R. Baker, James M. Dohm, Felipe Ip, Dan Mandl, Stuart Frye, Seth Shulman, Stephen G. Ungar, Thomas Brakke, Jacques Descloitres, Jeremy Jones, Sandy Grosvenor, Rob Wright, Luke Flynn, Andy Harris, G. Robert Brakenridge, Sebastien Cacquard |
An autonomous Earth observing sensorweb. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC ![In: Proceedings of the IEEE International Conference on Systems, Man and Cybernetics, Waikoloa, Hawaii, USA, October 10-12, 2005, pp. 3944-3951, 2005, IEEE, 0-7803-9298-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Leith Johnson, Rob Horning, Larry Thayer, Daniel Li, Rob Snyder |
System Level ASIC Design for Hewleet-Packard's Low Cost PA-RISC Workstations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings 1991 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '91, Cambridge, MA, USA, October 14-16, 1991, pp. 132-135, 1991, IEEE Computer Society, 0-8186-2270-9. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
25 | Xuqing Li, Yuxin Ren |
Identity and Digital Communication: Concepts, Theories, Practices. Rob Cover. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Digit. Scholarsh. Humanit. ![In: Digit. Scholarsh. Humanit. 39(1), pp. 453-455, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
25 | Anshu Saxena Arora, Amit Arora, K. Sivakumar, John R. McIntyre |
Robotic Interventions for Learning (ROB-I-LEARN): Examining Social Robotics for Learning Disabilities through Business Model Canvas. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HRI (Companion) ![In: Companion of the 2023 ACM/IEEE International Conference on Human-Robot Interaction, HRI 2023, Stockholm, Sweden, March 13-16, 2023., pp. 277-281, 2023, ACM, 978-1-4503-9970-8. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
25 | Lvquan Wei, Junyu Li, Lilong Liu, Liangke Huang, Dunyong Zheng, Xiangyu Tian, Ling Huang, Lv Zhou, Chao Ren 0005, Hongchang He |
Lithosphere Ionosphere Coupling Associated with Seismic Swarm in the Balkan Peninsula from ROB-TEC and GPS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Remote. Sens. ![In: Remote. Sens. 14(19), pp. 4759, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
25 | Wim Heirman, Stijn Eyerman, Kristof Du Bois, Ibrahim Hur |
RIO: ROB-Centric In-Order Modeling of Out-of-Order Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 20(1), pp. 78-81, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Chang Zhang, Yuqiang Wu |
P-Rob Six-Degree-of-Freedom Robot Manipulator Dynamics Modeling and Anti-Disturbance Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 9, pp. 141403-141420, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
25 | Ansgar Fehnker |
Out for coffee: with Rob. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Acta Informatica ![In: Acta Informatica 57(3-5), pp. 323-327, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | Vaughan R. Pratt |
My time with Rob. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Acta Informatica ![In: Acta Informatica 57(3-5), pp. 321-322, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | Joseph Aubele |
Transforming Acquisitions and Collection Services: Perspectives on Collaboration Within and Across Libraries. Michelle Flinchbaugh, Chuck Thomas, Rob Tench, Vicki Sipe, Robin Barnard Moskal, Lynda L. Aldana, and Erica A. Owusu, eds. West Lafayette, IN: Purdue University Press, 2019. 442p. $49.99 (ISBN 978-1-55753-845-1). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Coll. Res. Libr. ![In: Coll. Res. Libr. 81(6), pp. 1045-1046, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | Mariia Sidulova, Ria Kim, Chung Hyuk Park |
Cerebrovascular Event Detection Robotic System: Rob Bitt. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BioRob ![In: 8th IEEE RAS/EMBS International Conference for Biomedical Robotics and Biomechatronics, BioRob 2020, New York City, NY, USA, November 29 - December 1, 2020, pp. 673-678, 2020, IEEE, 978-1-7281-5907-2. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
25 | Xuanqing Liu, Cho-Jui Hsieh |
Rob-GAN: Generator, Discriminator, and Adversarial Attacker. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CVPR ![In: IEEE Conference on Computer Vision and Pattern Recognition, CVPR 2019, Long Beach, CA, USA, June 16-20, 2019, pp. 11234-11243, 2019, Computer Vision Foundation / IEEE. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
25 | John F. Dooley |
Review of A Mind at Play by Jimmy Soni and Rob Goodman. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cryptologia ![In: Cryptologia 42(2), pp. 183-190, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
25 | Cunlu Li, Dezun Dong, Zhonghai Lu, Xiangke Liao |
RoB-Router : A Reorder Buffer Enabled Low Latency Network-on-Chip Router. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 29(9), pp. 2090-2104, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
25 | Michael Beetz, Daniel Beßler, Andrei Haidu, Mihai Pomarlan, Asil Kaan Bozcuoglu, Georg Bartels |
Know Rob 2.0 - A 2nd Generation Knowledge Processing Framework for Cognition-Enabled Robotic Agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2018 IEEE International Conference on Robotics and Automation, ICRA 2018, Brisbane, Australia, May 21-25, 2018, pp. 512-519, 2018, IEEE, 978-1-5386-3081-5. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
25 | Rénald Gaboriau, Sophie Sakka, Didier Acier, Dimitri Delacroix |
Rob'Autism Project: Being Active in Social Interactions: The Robot-Extension Paradigm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IHSED ![In: Human Systems Engineering and Design - Proceedings of the 1st International Conference on Human Systems Engineering and Design: Future Trends and Applications, IHSED 2018, Reims, France, October 25-27, 2018, pp. 88-94, 2018, Springer, 978-3-030-02052-1. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
25 | Stefano Bistarelli, Francesco Faloci, Francesco Santini 0001, Carlo Taticchi |
Studying Dynamics in Argumentation with Rob. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMMA ![In: Computational Models of Argument - Proceedings of COMMA 2018, Warsaw, Poland, 12-14 September 2018, pp. 451-452, 2018, IOS Press, 978-1-61499-905-8. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
25 | Katja Schechtner |
Bridging the Adoption Gap for Smart City Technologies: An Interview with Rob Kitchin. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Pervasive Comput. ![In: IEEE Pervasive Comput. 16(2), pp. 72-75, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
25 | Joanne Pransky |
The Pransky interview: Dr Rob Buckingham, Director at UK Atomic Energy Authority and Robotics Pioneer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ind. Robot ![In: Ind. Robot 43(6), pp. 577-582, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
25 | Cunlu Li, Dezun Dong, Xiangke Liao, Ji Wu 0006, Fei Lei |
RoB-Router: Low Latency Network-on-Chip Router Microarchitecture Using Reorder Buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hot Interconnects ![In: 24th IEEE Annual Symposium on High-Performance Interconnects, HOTI 2016, Santa Clara, CA, USA, August 24-26, 2016, pp. 68-75, 2016, IEEE Computer Society, 978-1-5090-2854-2. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
25 | Drew Paine |
Book Review The Data Revolution: Big Data, Open Data, Data Infrastructures & Their Consequences - Rob Kitchin, Sage, London, 222 pp. ISBN-13 978-1446287484. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Support. Cooperative Work. ![In: Comput. Support. Cooperative Work. 24(4), pp. 385-388, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
25 | Rik Farrow |
Interview with Rob Kolstad. ![Search on Bibsonomy](Pics/bibsonomy.png) |
login Usenix Mag. ![In: login Usenix Mag. 40(6), 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
25 | Larry Stillman |
Code/Space: Software and Everyday Life, by Rob Kitchin and Martin Dodge. Cambridge, MA: MIT Press, 2014. xiv + 290 pp. $20.00 paper. ISBN 9780262525916 (paper). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inf. Soc. ![In: Inf. Soc. 31(1), pp. 99-100, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
25 | Chao Song, Minxuan Zhang |
Improved access pattern for ROB soft error rate mitigation based on 3D integration technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
3DIC ![In: 2015 International 3D Systems Integration Conference, 3DIC 2015, Sendai, Japan, August 31 - September 2, 2015, pp. TS8.20.1-TS8.20.5, 2015, IEEE, 978-1-4673-9385-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 6528 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|