Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
95 | Manuel Núñez 0001, Ismael Rodríguez, Fernando Rubio 0001 |
Applying Occam's Razor to FSMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE ICCI ![In: Proceedings of the 3rd IEEE International Conference on Cognitive Informatics (ICCI 2004), 16-17 August 2004, Victoria, Canada, pp. 138-147, 2004, IEEE Computer Society, 0-7695-2190-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Occam´s razor, Chomsky´s classification, Cognitive Informatics |
95 | John F. Croix, D. F. Wong 0001 |
Blade and razor: cell and interconnect delay analysis using current-based models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 386-389, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
blade, current-based model, razor, recursive convolution, timing analysis, interconnect model, cell model |
85 | Chad R. Meiners, Alex X. Liu, Eric Torng |
TCAM Razor: A Systematic Approach Towards Minimizing Packet Classifiers in TCAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNP ![In: Proceedings of the IEEE International Conference on Network Protocols, ICNP 2007, October 16-19, 2007, Beijing, China, pp. 266-275, 2007, IEEE Computer Society, 1-4244-1588-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
85 | Todd M. Austin |
Razor: a low-power pipeline based on circuit-level timing speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 19th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2006, Ouro Preto, MG, Brazil, August 28 - September 1, 2006, pp. 13, 2006, ACM. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
85 | Dan Ernst, Nam Sung Kim, Shidhartha Das, Sanjay Pant, Rajeev R. Rao, Toan Pham, Conrad H. Ziesler, David T. Blaauw, Todd M. Austin, Krisztián Flautner, Trevor N. Mudge |
Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003, pp. 7-18, 2003, IEEE Computer Society, 0-7695-2043-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
73 | Seokwoo Lee, Shidhartha Das, Toan Pham, Todd M. Austin, David T. Blaauw, Trevor N. Mudge |
Reducing pipeline energy demands with local DVS and dynamic retiming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 319-324, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
dynamic retiming with global DVS, local DVS, razor |
69 | Ming Li 0001, John Tromp, Paul M. B. Vitányi |
Sharpening Occam's Razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COCOON ![In: Computing and Combinatorics, 8th Annual International Conference, COCOON 2002, Singapore, August 15-17, 2002, Proceedings, pp. 411-419, 2002, Springer, 3-540-43996-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
69 | Pedro M. Domingos |
Beyond Occam's Razor: Process-Oriented Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECML ![In: Machine Learning: ECML 2000, 11th European Conference on Machine Learning, Barcelona, Catalonia, Spain, May 31 - June 2, 2000, Proceedings, pp. 3, 2000, Springer, 3-540-67602-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
57 | Rupak Samanta, Ganesh Venkataraman, Nimay Shah, Jiang Hu |
Elastic Timing Scheme for Energy-Efficient and Robust Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 537-542, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Elstic, Razor, Pipeline, Boosting |
57 | Lipo Wang, Hou Chai Quek, Keng Hoe Tee, Nina Zhou, Chunru Wan |
Optimal Size of a Feedforward Neural Network: How Much does it Matter?. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICAS/ICNS ![In: Joint International Conference on Autonomic and Autonomous Systems 2005 / International Conference on Networking and Services 2005, ICAS/ICNS 2005, Papeete, Tahiti, France, October 23-28, 2005, pp. 69, 2005, IEEE Computer Society, 0-7695-2450-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Occam’s Razor, Hidden neurons, Neural networks, Learning |
53 | Todd M. Austin, David T. Blaauw, Trevor N. Mudge, Krisztián Flautner |
Making Typical Silicon Matter with Razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer ![In: Computer 37(3), pp. 57-65, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
53 | Dragan Gamberger, Nada Lavrac |
Conditions for Occam's Razor Applicability and Noise Elimination. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECML ![In: Machine Learning: ECML-97, 9th European Conference on Machine Learning, Prague, Czech Republic, April 23-25, 1997, Proceedings, pp. 108-123, 1997, Springer, 3-540-62858-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
53 | Byoung-Tak Zhang |
Effects of Occam's Razor in Evolving Sigma-Pi Neural Nets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPSN ![In: Parallel Problem Solving from Nature - PPSN III, International Conference on Evolutionary Computation. The Third Conference on Parallel Problem Solving from Nature, Jerusalem, Israel, October 9-14, 1994, Proceedings, pp. 462-471, 1994, Springer, 3-540-58484-6. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
48 | Jian Yu |
General C-Means Clustering Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 27(8), pp. 1197-1211, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
48 | Eyke Hüllermeier |
Possibilistic Induction in Decision-Tree Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECML ![In: Machine Learning: ECML 2002, 13th European Conference on Machine Learning, Helsinki, Finland, August 19-23, 2002, Proceedings, pp. 173-184, 2002, Springer, 3-540-44036-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
43 | Yao Yao, Bin Liu, Haoxun He, Dakui Sheng, Ke Wang, Li Xiao, Huanhuan Cao |
i-Razor: A Neural Input Razor for Feature Selection and Dimension Search in Large-Scale Recommender Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2204.00281, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
43 | Thomas Blanchard, Tania Lombrozo, Shaun Nichols |
Bayesian Occam's Razor Is a Razor of the People. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cogn. Sci. ![In: Cogn. Sci. 42(4), pp. 1345-1359, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
42 | Songcan Chen, Qun Dai |
Discounted least squares-improved circular back-propogation neural networks with applications in time series prediction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Comput. Appl. ![In: Neural Comput. Appl. 14(3), pp. 250-255, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Discounted least squares (DLS), Improved circular back-propagation network, Time series predicting or forecasting, Occams Razor principle |
42 | Michael P. Wellman, Max Henrion |
Explaining 'Explaining Away'. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 15(3), pp. 287-292, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
causal explanation, general qualitative probabilistic analysis, intercausal reasoning, product synergy, qualitative probabilistic network, probabilistic belief, Occam's razor, probability, inference, inference mechanisms, explanation, uncertainty handling, belief maintenance |
37 | Dimitri Marinakis, Gregory Dudek |
Occam's Razor Applied to Network Topology Inference. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Robotics ![In: IEEE Trans. Robotics 24(2), pp. 293-306, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
37 | Henry Tan, Tharam S. Dillon, Fedja Hadzic, Elizabeth Chang 0001 |
Razor: mining distance-constrained embedded subtrees. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDM Workshops ![In: Workshops Proceedings of the 6th IEEE International Conference on Data Mining (ICDM 2006), 18-22 December 2006, Hong Kong, China, pp. 8-13, 2006, IEEE Computer Society, 0-7695-2702-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
37 | Karl Tuyls, Katja Verbeeck, Sam Maes |
On a Dynamical Analysis of Reinforcement Learning in Games: Emergence of Occam's Razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CEEMAS ![In: Multi-Agent Systems and Applications III, 3rd International Central and Eastern European Conference on Multi-Agent Systems, CEEMAS 2003, Prague, Czech Republic, June 16-18, 2003, Proceedings, pp. 335-344, 2003, Springer, 3-540-40450-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
32 | Ganesh S. Dasika, Shidhartha Das, Kevin Fan, Scott A. Mahlke, David M. Bull |
DVFS in loop accelerators using BLADES. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 894-897, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
embedded systems, low power, high-level synthesis, voltage scaling, frequency scaling |
32 | Richard Nock, Pascal Jappy, Jean Sallantin |
Generalized Graph Colorability and Compressibility of Boolean Formulae. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISAAC ![In: Algorithms and Computation, 9th International Symposium, ISAAC '98, Taejon, Korea, December 14-16, 1998, Proceedings, pp. 237-246, 1998, Springer, 3-540-65385-6. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
26 | Kirill Minkovich, Jason Cong |
Mapping for better than worst-case delays in LUT-based FPGA designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, FPGA 2008, Monterey, California, USA, February 24-26, 2008, pp. 56-64, 2008, ACM, 978-1-59593-934-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
better than worst-case, razor, switching probabilities, simulation, logic synthesis, technology mapping, FPGA lookup table |
26 | John Langford 0001, Avrim Blum |
Microchoice Bounds and Self Bounding Learning Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mach. Learn. ![In: Mach. Learn. 51(2), pp. 165-179, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Occam's razor, self-bounding algorithms, PAC bounds, sample complexity |
21 | Rayen Dhahri, Alexander Immer, Bertrand Charpentier, Stephan Günnemann, Vincent Fortuin |
Shaving Weights with Occam's Razor: Bayesian Sparsification for Neural Networks Using the Marginal Likelihood. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.15978, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
21 | Andrea Mattia Garavagno, Daniele Leonardis, Antonio Frisoli |
ColabNAS: Obtaining lightweight task-specific convolutional neural networks following Occam's razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Future Gener. Comput. Syst. ![In: Future Gener. Comput. Syst. 152, pp. 152-159, March 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
21 | Yuan Zhang, Jian Cao 0002, Ling Zhang, Jue Chen, Wenyu Sun, Yuan Wang 0001 |
Razor SNN: Efficient Spiking Neural Network with Temporal Embeddings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2306.17597, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Chris Mingard, Henry Rees, Guillermo Valle Pérez, Ard A. Louis |
Do deep neural networks have an inbuilt Occam's razor? ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2304.06670, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Tom F. Sterkenburg |
Statistical learning theory and Occam's razor: The argument from empirical risk minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2312.13842, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
21 | Thalia Vrantsidis, Tania Lombrozo |
The Edge of Ockham's Razor: Examining Boundary Conditions on Preferences for Simpler Explanations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 45th Annual Meeting of the Cognitive Science Society, CogSci 2023, Sydney, NSW, Australia, July 26-29, 2023, 2023, cognitivesciencesociety.org. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP BibTeX RDF |
|
21 | Falco J. Bargagli Stoffi, Gustavo Cevolani, Giorgio Gnecco |
Simple Models in Complex Worlds: Occam's Razor and Statistical Learning Theory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Minds Mach. ![In: Minds Mach. 32(1), pp. 13-42, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Yabin Wang, Zhiwu Huang, Xiaopeng Hong |
S-Prompts Learning with Pre-trained Transformers: An Occam's Razor for Domain Incremental Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2207.12819, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Andrea Mattia Garavagno, Daniele Leonardis, Antonio Frisoli |
Colab NAS: Obtaining lightweight task-specific convolutional neural networks following Occam's razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2212.07700, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Richard D. Gill |
Schrödinger's Cat Meets Occam's Razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Entropy ![In: Entropy 24(11), pp. 1586, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Justin Talbot, Daniel Ting |
Statistical Schema Learning with Occam's Razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: SIGMOD '22: International Conference on Management of Data, Philadelphia, PA, USA, June 12 - 17, 2022, pp. 176-189, 2022, ACM, 978-1-4503-9249-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Yabin Wang, Zhiwu Huang, Xiaopeng Hong |
S-Prompts Learning with Pre-trained Transformers: An Occam's Razor for Domain Incremental Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NeurIPS ![In: Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022., 2022, 9781713871088. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
21 | Ziyu Jiang, Xuxi Chen, Xueqin Huang, Xianzhi Du, Denny Zhou, Zhangyang Wang |
Back Razor: Memory-Efficient Transfer Learning by Self-Sparsified Backpropagation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NeurIPS ![In: Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022., 2022, 9781713871088. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP BibTeX RDF |
|
21 | Srikrishna Vasudev, Kartickraj K, Anuj Grover |
Up to 13.7% Increase in Throughput of RISC V SoC Using Timing Speculative Razor SRAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuit and Systems, APCCAS 2022, Shenzhen, China, November 11-13, 2022, pp. 222-225, 2022, IEEE, 978-1-6654-5073-7. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Mirko Fiacchini, Mazen Alamir |
The Ockham's razor applied to COVID-19 model fitting French data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annu. Rev. Control. ![In: Annu. Rev. Control. 51, pp. 500-510, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Benoit Dherin, Michael Munn, David G. T. Barrett |
The Geometric Occam's Razor Implicit in Deep Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2111.15090, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
21 | Sanjeev Arora, Yi Zhang 0074 |
Rip van Winkle's Razor: A Simple Estimate of Overfit to Test Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2102.13189, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
21 | Tianyuan Teng, Hang Zhang 0006 |
Humans violate Occam's razor in learning Gaussian mixture models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 43rd Annual Meeting of the Cognitive Science Society, CogSci 2021, virtual, July 26-29, 2021, 2021, cognitivesciencesociety.org. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
21 | Bingxin Zhao, Lei Liu, Linsen Xu, Jinfu Liu, Lei Li, Shuang Zhou 0020 |
Design and Analysis of a Novel and High-efficiency Axe-foot Part for Razor Clam Inspired Anchoring Robot. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RCAR ![In: IEEE International Conference on Real-time Computing and Robotics, RCAR 2021, Xining, China, July 15-19, 2021, pp. 330-335, 2021, IEEE, 978-1-6654-3678-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Yuhang Chen, Chong Han 0001 |
Razor-sharp Narrowbeam Communications and Management for Terahertz Wireless Networks (Invited Paper). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ComComAP ![In: Computing, Communications and IoT Applications, ComComAp 2021, Shenzhen, China, November 26-28, 2021, pp. 335-340, 2021, IEEE, 978-1-6654-2798-2. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
21 | Gonzalo Jaimovitch-Lopez, David Castellano Falcón, César Ferri, José Hernández-Orallo |
Think Big, Teach Small: Do Language Models Distil Occam's Razor? ![Search on Bibsonomy](Pics/bibsonomy.png) |
NeurIPS ![In: Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual., pp. 1610-1623, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
21 | Rohan Ghosh, Mehul Motani |
Network-to-Network Regularization: Enforcing Occam's Razor to Improve Generalization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NeurIPS ![In: Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual., pp. 6341-6352, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP BibTeX RDF |
|
21 | Yanjiao Chen, Long Lin, Baochun Li |
Razor: Scaling Backend Capacity for Mobile Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 19(7), pp. 1702-1714, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
21 | Wen Liu 0002, Yaokai Mo, Jichao Jiao, Zhongliang Deng |
EF-Razor: An Effective Edge-Feature Processing Method in Visual SLAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 8, pp. 140798-140805, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
21 | Birgitta Dresp-Langley, Ole Kristian Ekseth, Jan Fesl, Seiichi Gohshi, Marc Kurz, Hans-Werner Sehring |
Occams Razor for Big Data? On Detecting Quality in Large Unstructured Datasets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2011.08663, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
21 | Ben Goertzel |
Grounding Occam's Razor in a Formal Theory of Simplicity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2004.05269, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
21 | Antônio H. Ribeiro, Johannes N. Hendriks, Adrian G. Wills, Thomas B. Schön |
Beyond Occam's Razor in System Identification: Double-Descent when Modeling Dynamics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2012.06341, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP BibTeX RDF |
|
21 | Iaakov Exman, Harel Wallach |
Linear Software Models: An Occam's Razor Set of Algebraic Connectors Integrates Modules into a Whole Software System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Eng. Knowl. Eng. ![In: Int. J. Softw. Eng. Knowl. Eng. 30(10), pp. 1375-1413, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
21 | Oliver Schulte |
Causal Learning with Occam's Razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Stud Logica ![In: Stud Logica 107(5), pp. 991-1023, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
21 | Ke Sun 0001, Frank Nielsen |
Lightlike Neuromanifolds, Occam's Razor and Deep Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1905.11027, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
21 | Mark Jones 0001, Philippe Gambette, Leo van Iersel, Remie Janssen, Steven Kelk, Fabio Pardi, Céline Scornavacca |
Cutting an alignment with Ockham's razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1910.11041, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
21 | Wenjun Mei, Francesco Bullo, Ge Chen 0001, Florian Dörfler |
Occam's Razor in Opinion Dynamics: The Weighted-Median Influence Process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1909.06474, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
21 | P. M. Raphika, P. Abdulla 0001, P. M. Jasmine, T. K. Rekha, Ami Iqbal |
Design of Planar Low Pass Filter with Razor-sharp Roll-off. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MENACOMM ![In: 2nd IEEE Middle East and North Africa COMMunications Conference, MENACOMM 2019, Manama, Bahrain, November 19-21, 2019, pp. 1-4, 2019, IEEE, 978-1-7281-3687-5. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
21 | Chenxiong Qian, Hong Hu 0004, Mansour Alharthi, Simon Pak Ho Chung, Taesoo Kim, Wenke Lee |
RAZOR: A Framework for Post-deployment Software Debloating. ![Search on Bibsonomy](Pics/bibsonomy.png) |
USENIX Security Symposium ![In: 28th USENIX Security Symposium, USENIX Security 2019, Santa Clara, CA, USA, August 14-16, 2019., pp. 1733-1750, 2019, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
21 | Jake Spicer, Adam Sanborn, Ulrik R. Beierholm |
Using Occam's razor and Bayesian modelling to compare discrete and continuous representations in numerostiy judgements. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 41th Annual Meeting of the Cognitive Science Society, CogSci 2019: Creativity + Cognition + Computation, Montreal, Canada, July 24-27, 2019, pp. 3578, 2019, cognitivesciencesociety.org, 0-9911967-7-5. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP BibTeX RDF |
|
21 | Kai-Chiang Wu, Wei-Tao Huang, Chiao-Yang Huang |
ICE-RADAR: In-situ, Cost-Effective Razor Flip-Flop Deployment for Aging Resilience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 25th IEEE International Symposium on On-Line Testing and Robust System Design, IOLTS 2019, Rhodes, Greece, July 1-3, 2019, pp. 263-268, 2019, IEEE, 978-1-7281-2490-2. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
21 | Michael Zhenin, Malkeet Singh Bahia, Gilles Marcou, Alexandre Varnek, Hanoch Senderowitz, Dragos Horvath |
Rescoring of docking poses under Occam's Razor: are there simpler solutions? ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Aided Mol. Des. ![In: J. Comput. Aided Mol. Des. 32(9), pp. 877-888, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
21 | Thomas Geffroy, Jérôme Leroux, Grégoire Sutre |
Occam's Razor applied to the Petri net coverability problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Theor. Comput. Sci. ![In: Theor. Comput. Sci. 750, pp. 38-52, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
21 | Giovanni Iacca, Ferrante Neri, Ernesto Mininno, Yew-Soon Ong, Meng-Hiot Lim |
Ockham's Razor in Memetic Computing: Three Stage Optimal Memetic Exploration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1810.08669, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
21 | Valentin Vielzeuf, Corentin Kervadec, Stéphane Pateux, Alexis Lechervy, Frédéric Jurie |
An Occam's Razor View on Learning Audiovisual Emotion Recognition with Small Training Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1808.02668, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
21 | Lloyd Allison |
Coding Ockham's Razor ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
DOI RDF |
|
21 | Paul Tarau |
Shaving with Occam's Razor: Deriving Minimalist Theorem Provers for Minimal Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RCRA@FLoC ![In: Proceedings of the Workshop on Experimental Evaluation of Algorithms for Solving Problems with Combinatorial Explosion co-located with the Federated Logic Conference, RCRA@FLOC 2018, Oxford, United Kingdom, July 13, 2018., 2018, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
21 | Valentin Vielzeuf, Corentin Kervadec, Stéphane Pateux, Alexis Lechervy, Frédéric Jurie |
An Occam's Razor View on Learning Audiovisual Emotion Recognition with Small Training Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMI ![In: Proceedings of the 2018 on International Conference on Multimodal Interaction, ICMI 2018, Boulder, CO, USA, October 16-20, 2018, pp. 589-593, 2018, ACM. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
21 | Elliott Sober |
Ockham's Razor and Chimpanzee Mind-Reading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 40th Annual Meeting of the Cognitive Science Society, CogSci 2018, Madison, WI, USA, July 25-28, 2018, 2018, cognitivesciencesociety.org, 978-0-9911967-8-4. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
21 | Edgar Berdahl, Eric Sheffield, Andrew Pfalz, Anthony T. Marasco |
Widening the Razor-Thin Edge of Chaos Into a Musical Highway: Connecting Chaotic Maps to Digital Waveguides. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NIME ![In: 18th International Conference on New Interfaces for Musical Expression, NIME 2018, Blacksburg, VA, USA, June 3-6, 2018., pp. 390-393, 2018, nime.org. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
21 | Hao Li 0011, Kaiyue Chen, Tian Pan 0001, Yadong Zhou, Kun Qian 0017, Kai Zheng 0003, Bin Liu 0001, Peng Zhang 0011, Yazhe Tang, Chengchen Hu |
CORA: Conflict Razor for Policies in SDN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOCOM ![In: 2018 IEEE Conference on Computer Communications, INFOCOM 2018, Honolulu, HI, USA, April 16-19, 2018, pp. 423-431, 2018, IEEE, 978-1-5386-4128-6. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
21 | Stuart Armstrong, Sören Mindermann |
Occam's razor is insufficient to infer the preferences of irrational agents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NeurIPS ![In: Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montréal, Canada., pp. 5603-5614, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP BibTeX RDF |
|
21 | |
Ockham's Razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Machine Learning and Data Mining ![In: Encyclopedia of Machine Learning and Data Mining, pp. 921, 2017, Springer, 978-1-4899-7685-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
21 | Geoffrey I. Webb |
Occam's Razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Machine Learning and Data Mining ![In: Encyclopedia of Machine Learning and Data Mining, pp. 920-921, 2017, Springer, 978-1-4899-7685-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
21 | Ushio Jimbo, Junji Yamada, Ryota Shioya, Masahiro Goshima |
Applying Razor Flip-Flops to SRAM Read Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Electron. ![In: IEICE Trans. Electron. 100-C(3), pp. 245-258, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
21 | Christian Sternitzke |
Interlocking Patent Rights and Value Appropriation: Insights From the Razor Industry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Engineering Management ![In: IEEE Trans. Engineering Management 64(2), pp. 249-265, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
21 | Mohammad Kazem Ebrahimpour, Masoumeh Zare, Mahdi Eftekhari, Gholamreza Aghamolaei |
Occam's razor in dimension reduction: Using reduced row Echelon form for finding linear independent features in high dimensional microarray datasets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Eng. Appl. Artif. Intell. ![In: Eng. Appl. Artif. Intell. 62, pp. 214-221, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
21 | Thomas Geffroy, Jérôme Leroux, Grégoire Sutre |
Occam's Razor Applied to the Petri Net Coverability Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1607.05956, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP BibTeX RDF |
|
21 | Pierre Latouche, Pierre-Alexandre Mattei, Charles Bouveyron, Julien Chiquet |
Combining a relaxed EM algorithm with Occam's razor for Bayesian variable selection in high-dimensional regression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Multivar. Anal. ![In: J. Multivar. Anal. 146, pp. 177-190, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
21 | Kerstin Engström, Mark D. Rounsevell, Dave Murray-Rust, Catherine Hardacre, Peter Alexander, Xufeng Cui, Paul I. Palmer, Almut Arneth |
Applying Occam's razor to global agricultural land use change. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Environ. Model. Softw. ![In: Environ. Model. Softw. 75, pp. 212-229, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
21 | Alexander Pollok, Andreas Klöckner |
The use of Ockham's Razor in object-oriented modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EOOLT ![In: Proceedings of the 7th International Workshop on Equation-Based Object-Oriented Modeling Languages and Tools, EOOLT 2016, Milano, Italy, April 18, 2016, pp. 31-38, 2016, ACM, 978-1-4503-4202-5. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
21 | Thomas Geffroy, Jérôme Leroux, Grégoire Sutre |
Occam's Razor Applied to the Petri Net Coverability Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RP ![In: Reachability Problems - 10th International Workshop, RP 2016, Aalborg, Denmark, September 19-21, 2016, Proceedings, pp. 77-89, 2016, Springer, 978-3-319-45993-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
21 | Yuejian Wu, Sandy Thomson, Han Sun, David Krause, Song Yu, George Kurio |
Free Razor: A Novel Voltage Scaling Low-Power Technique for Large SoC Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 23(11), pp. 2431-2437, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
21 | Manuel de la Guia Solaz, Richard Conway 0001 |
Razor Based Programmable Truncated Multiply and Accumulate, Energy-Reduction for Efficient Digital Signal Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 23(1), pp. 189-193, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
21 | Paul M. Riechers, John R. Mahoney, Cina Aghamohammadi, James P. Crutchfield |
A Closed-Form Shave from Occam's Quantum Razor: Exact Results for Quantum Compression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1510.08186, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
21 | Richard Tillman, Sterling Hutchinson, Max M. Louwerse |
How Sharp is Occam's Razor? Language Statistics in Cognitive Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CogSci ![In: Proceedings of the 37th Annual Meeting of the Cognitive Science Society, CogSci 2015, Pasadena, California, USA, July 22-25, 2015, 2015, cognitivesciencesociety.org, 978-0-9911967-2-2. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
21 | Inyong Kwon, Seongjong Kim, David Fick, Myungbo Kim, Yen-Po Chen, Dennis Sylvester |
Razor-Lite: A Light-Weight Register for Error Detection by Observing Virtual Supply Rails. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 49(9), pp. 2054-2066, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Paul N. Whatmough, Shidhartha Das, David M. Bull |
A Low-Power 1-GHz Razor FIR Accelerator With Time-Borrow Tracking Pipeline and Approximate Error Correction in 65-nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 49(1), pp. 84-94, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Devika Narain, Jeroen B. J. Smeets, Pascal Mamassian, Eli Brenner, Robert J. van Beers |
Structure learning and the Occam's razor principle: a new view of human function acquisition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Frontiers Comput. Neurosci. ![In: Frontiers Comput. Neurosci. 8, pp. 121, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Xiaoxiao Zhang, Farid Boussaïd, Amine Bermak |
32 Bit ×32 Bit Multiprecision Razor-Based Dynamic Voltage Scaling Multiplier With Operands Scheduler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 22(4), pp. 759-770, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Douglas Walton |
On a razor's edge: evaluating arguments from expert opinion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Argument Comput. ![In: Argument Comput. 5(2-3), pp. 139-159, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Matteo Danieletto, Nicola Bui, Michele Zorzi |
RAZOR: A Compression and Classification Solution for the Internet of Things. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 14(1), pp. 68-94, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Shidhartha Das, Ganesh S. Dasika, Karthik Shivashankar, David M. Bull |
A 1 GHz Hardware Loop-Accelerator With Razor-Based Dynamic Adaptation for Energy-Efficient Operation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. I Regul. Pap. ![In: IEEE Trans. Circuits Syst. I Regul. Pap. 61-I(8), pp. 2290-2298, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Tim Menzies |
Occam's Razor and Simple Software Project Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Software Project Management in a Changing World ![In: Software Project Management in a Changing World, pp. 447-472, 2014, Springer, 978-3-642-55034-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Guowei Zhang, Peter A. Beerel |
Stochastic analysis of Bubble Razor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-6, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Hayoung Kim, Dongyoung Kim, Jae-Joon Kim, Sungjoo Yoo, Sunggu Lee |
Coarse-grained Bubble Razor to exploit the potential of two-phase transparent latch designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation & Test in Europe Conference & Exhibition, DATE 2014, Dresden, Germany, March 24-28, 2014, pp. 1-6, 2014, European Design and Automation Association, 978-3-9815370-2-4. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Alexander Gebharter, Gerhard Schurz |
How Occam's Razor Provides a Neat Definition of Direct Causation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CI@UAI ![In: Proceedings of the UAI 2014 Workshop Causal Inference: Learning and Prediction co-located with 30th Conference on Uncertainty in Artificial Intelligence (UAI 2014), Quebec City, Canada, July 27, 2014., pp. 1-10, 2014, CEUR-WS.org. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
21 | Heather M. Ryan |
Occam's Razor and File Format Endangerment Factors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
iPRES ![In: Proceedings of the 11th International Conference on Digital Preservation, iPRES 2014, Melbourne, Australia, October 6 - 10, 2014, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP BibTeX RDF |
|
21 | Salman Saghafi, Daniel J. Dougherty |
Razor: Provenance and Exploration in Model-Finding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PAAR@IJCAR ![In: 4th Workshop on Practical Aspects of Automated Reasoning, PAAR@IJCAR 2014, Vienna, Austria, 2014, pp. 76-93, 2014, EasyChair. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|