|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 137 occurrences of 111 keywords
|
|
|
Results
Found 94 publication records. Showing 94 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
89 | James H. Anderson, Rohit Jain, Srikanth Ramamurthy |
Wait-free object-sharing schemes for real-time uniprocessors and multiprocessors. |
RTSS |
1997 |
DBLP DOI BibTeX RDF |
wait-free object-sharing schemes, real-time uniprocessors, real-time multiprocessors, priority inheritance protocol, breakdown utilization experiments, lock-based schemes, multiprocessing systems, nonblocking, user level, priority ceiling protocol |
46 | Brian N. Bershad, David D. Redell, John R. Ellis |
Fast Mutual Exclusion for Uniprocessors. |
ASPLOS |
1992 |
DBLP DOI BibTeX RDF |
|
45 | Jochen Liedtke, Marcus Völp, Kevin Elphinstone |
Preliminary thoughts on memory-bus scheduling. |
ACM SIGOPS European Workshop |
2000 |
DBLP DOI BibTeX RDF |
|
45 | S. Muthukrishnan 0001, Rajmohan Rajaraman, Anthony Shaheen, Johannes Gehrke |
Online Scheduling to Minimize Average Stretch. |
FOCS |
1999 |
DBLP DOI BibTeX RDF |
scheduling, multiprocessors, online algorithms, competitive analysis |
43 | Sanjoy K. Baruah |
The Limited-Preemption Uniprocessor Scheduling of Sporadic Task Systems. |
ECRTS |
2005 |
DBLP DOI BibTeX RDF |
sporadic tesks, non-preemptive scheduling, critical sections, EDF scheduling, uniprocessors |
43 | Anthony-Trung Nguyen, Maged M. Michael, Arun Sharma, Josep Torrellas |
The Augmint multiprocessor simulation toolkit for Intel x86 architectures. |
ICCD |
1996 |
DBLP DOI BibTeX RDF |
Augmint multiprocessor simulation toolkit, Intel x86 architectures, publicly available simulation tools, instruction mix, memory reference patterns, CISC architectures, execution driven multiprocessor simulation toolkit, m4 macro extended C, C++ applications, SPLASH-2 benchmark suites, thread based programming model, shared global address space, private stack space, simulator interface, MINT simulation toolkit, x8d based uniprocessor systems, multiprocessing systems, trace driven simulation, architecture simulators, uniprocessors |
31 | Xiaozhe Gu |
Schedulability analysis and low-criticality execution support for mixed-criticality real-time systems on uniprocessors |
|
2018 |
DOI RDF |
|
31 | Pontus Ekberg, Wang Yi 0001 |
Fixed-Priority Schedulability of Sporadic Tasks on Uniprocessors is NP-Hard. |
RTSS |
2017 |
DBLP DOI BibTeX RDF |
|
31 | Abhilash Thekkilakattil, Radu Dobrin, Sasikumar Punnekkat |
The limited-preemptive feasibility of real-time tasks on uniprocessors. |
Real Time Syst. |
2015 |
DBLP DOI BibTeX RDF |
|
31 | Luís Almeida 0001 |
Guest Editorial: From Uniprocessors to Multiprocessors: Advances in Real-Time Systems. |
Real Time Syst. |
2013 |
DBLP DOI BibTeX RDF |
|
31 | Muhammad Ali Awan, Patrick Meumeu Yomsi, Stefan M. Petters |
Optimal procrastination interval for constrained deadline sporadic tasks upon uniprocessors. |
RTNS |
2013 |
DBLP DOI BibTeX RDF |
|
31 | Mengjie Mao, Hong An, Bobin Deng, Tao Sun, Xuechao Wei, Wei Zhou, Wenting Han |
Distributed replay protocol for distributed uniprocessors. |
ICS |
2012 |
DBLP DOI BibTeX RDF |
|
31 | Tomas Kalibera, Filip Pizlo, Antony L. Hosking, Jan Vitek |
Scheduling real-time garbage collection on uniprocessors. |
ACM Trans. Comput. Syst. |
2011 |
DBLP DOI BibTeX RDF |
|
31 | Behnam Robatmili, Madhu Saravana Sibi Govindan, Doug Burger, Stephen W. Keckler |
Exploiting criticality to reduce bottlenecks in distributed uniprocessors. |
HPCA |
2011 |
DBLP DOI BibTeX RDF |
|
31 | Praveen Jayachandran, Tarek F. Abdelzaher |
Transforming Distributed Acyclic Systems into Equivalent Uniprocessors under Preemptive and Non-Preemptive Scheduling. |
ECRTS |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Zhao Zhang 0010, Xiaodong Zhang 0001 |
Fast Bit-Reversals on Uniprocessors and Shared-Memory Multiprocessors. |
SIAM J. Sci. Comput. |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Michel Cekleov, Michel Dubois 0001 |
Virtual-address caches. Part 1: problems and solutions in uniprocessors. |
IEEE Micro |
1997 |
DBLP DOI BibTeX RDF |
|
31 | Alan H. Karp |
Bit Reversal on Uniprocessors. |
SIAM Rev. |
1996 |
DBLP DOI BibTeX RDF |
|
31 | David Mosberger, Peter Druschel, Larry L. Peterson |
Implementing Atomic Sequences on Uniprocessors Using Rollforward. |
Softw. Pract. Exp. |
1996 |
DBLP DOI BibTeX RDF |
|
31 | Richard J. Eickemeyer, Ross E. Johnson, Steven R. Kunkel, Mark S. Squillante, Shiafun Liu |
Evaluation of Multithreaded Uniprocessors for Commercial Application Environments. |
ISCA |
1996 |
DBLP DOI BibTeX RDF |
|
31 | Josep Lluís Larriba-Pey, Angel Jorba, Juan J. Navarro |
A Parallel Tridiagonal Solver for Vector Uniprocessors. |
PPSC |
1993 |
DBLP BibTeX RDF |
|
31 | Steve Gregory, Ian T. Foster, Alastair D. Burt, Graem A. Ringwood |
An Abstract Machine for the Implementation of PARLOG on Uniprocessors. |
New Gener. Comput. |
1989 |
DBLP DOI BibTeX RDF |
|
30 | Luke K. McDowell, Susan J. Eggers, Steven D. Gribble |
Improving server software support for simultaneous multithreaded processors. |
PPoPP |
2003 |
DBLP DOI BibTeX RDF |
servers, simultaneous multithreading, runtime support |
30 | Ananth Grama, Vipin Kumar 0001, Sanjay Ranka, Vineet Singh |
Architecture Independent Analysis of Parallel Programs. |
International Conference on Computational Science (2) |
2001 |
DBLP DOI BibTeX RDF |
|
30 | Richard F. Rashid, Avadis Tevanian, Michael Young, David B. Golub, Robert V. Baron, David L. Black 0001, William J. Bolosky, Jonathan Chew |
Machine-Independent Virtual Memory Management for Paged Uniprocessor and Multiprocessor Architectures. |
ASPLOS |
1987 |
DBLP DOI BibTeX RDF |
|
28 | Nathan Fisher, Farhana Dewan |
Approximate Bandwidth Allocation for Compositional Real-Time Systems. |
ECRTS |
2009 |
DBLP DOI BibTeX RDF |
Interface Bandwidth, Approximation Algorithms, Compositional Analysis, Uniprocessors |
28 | Josep Lluís Larriba-Pey, Juan J. Navarro, Oriol Roig, Angel Jorba |
A generalized vision of some parallel bidiagonal systems solvers. |
International Conference on Supercomputing |
1994 |
DBLP DOI BibTeX RDF |
r-cyclic reduction, vector uniprocessors, divide and conquer, Gaussian elimination, linear recurrences |
15 | Abhishek Bhattacharjee, Margaret Martonosi |
Inter-core cooperative TLB for chip multiprocessors. |
ASPLOS |
2010 |
DBLP DOI BibTeX RDF |
parallelism, prefetching, translation lookaside buffer |
15 | Derek Hower, Pablo Montesinos, Luis Ceze, Mark D. Hill, Josep Torrellas |
Two hardware-based approaches for deterministic multiprocessor replay. |
Commun. ACM |
2009 |
DBLP DOI BibTeX RDF |
|
15 | John H. Reppy, Claudio V. Russo, Yingqi Xiao |
Parallel concurrent ML. |
ICFP |
2009 |
DBLP DOI BibTeX RDF |
parallelism, concurrency, message passing |
15 | Thidapat Chantem, Xiaobo Sharon Hu, Robert P. Dick |
Online work maximization under a peak temperature constraint. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
temperature-constraint optimization, performance, reliability, DVFS |
15 | Praveen Jayachandran, Tarek F. Abdelzaher |
Delay composition in preemptive and non-preemptive real-time pipelines. |
Real Time Syst. |
2008 |
DBLP DOI BibTeX RDF |
Pipelined distributed systems, Delay composition, Schedulability, End-to-end delay |
15 | Alejandro Masrur, Sebastian Drössler, Georg Färber |
Improvements in Polynomial-Time Feasibility Testing for EDF. |
DATE |
2008 |
DBLP DOI BibTeX RDF |
|
15 | Wolfgang Puffitsch |
Decoupled root scanning in multi-processor systems. |
CASES |
2008 |
DBLP DOI BibTeX RDF |
real-time, garbage collection, multi-processor |
15 | Jeffrey R. Diamond, Behnam Robatmili, Stephen W. Keckler, Robert A. van de Geijn, Kazushige Goto, Doug Burger |
High performance dense linear algebra on a spatially distributed processor. |
PPoPP |
2008 |
DBLP DOI BibTeX RDF |
gotoblas, grid processors, hybrid dataflow, matrix multiply, tile based architecture, instruction level parallelism, on-chip networks, dense linear algebra |
15 | Dimitris Gizopoulos, Robert C. Aitken, Sandip Kundu |
Guest Editorial: Special Section on "Autonomous Silicon Validation and Testing of Microprocessors and Microprocessor-Based Systems". |
IEEE Trans. Very Large Scale Integr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Jinpeng Wei, Calton Pu |
Multiprocessors May Reduce System Dependability under File-Based Race Condition Attacks. |
DSN |
2007 |
DBLP DOI BibTeX RDF |
Probabilistic Modeling, Race Condition |
15 | Praveen Jayachandran, Tarek F. Abdelzaher |
A Delay Composition Theorem for Real-Time Pipelines. |
ECRTS |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Filip Pizlo, Daniel Frampton, Erez Petrank, Bjarne Steensgaard |
Stopless: a real-time garbage collector for multiprocessors. |
ISMM |
2007 |
DBLP DOI BibTeX RDF |
real-time, concurrency, garbage collection, lock-free |
15 | Athanasios Milidonis, Nikolaos Alachiotis 0002, Vasileios Porpodas, Haralambos Michail, Athanasios Kakarountas, Constantinos E. Goutis |
Interactive presentation: A decoupled architecture of processors with scratch-pad memory hierarchy. |
DATE |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Rafael Ramírez 0001, Andrew E. Santosa |
A Framework for Separation of Concerns in Concurrent Programming. |
COMPSAC (2) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Krzysztof Rzadca |
Scheduling in Multi-organization Grids: Measuring the Inefficiency of Decentralization. |
PPAM |
2007 |
DBLP DOI BibTeX RDF |
scheduling, grid, game theory, fairness, multi-objective optimization |
15 | Shivali Agarwal, Rajkishore Barik, Dan Bonachea, Vivek Sarkar, R. K. Shyamasundar, Katherine A. Yelick |
Deadlock-free scheduling of X10 computations with bounded resources. |
SPAA |
2007 |
DBLP DOI BibTeX RDF |
deadlock-free scheduling, X10, active messages |
15 | Guilherme Ottoni, Ram Rangan, Adam Stoler, Matthew J. Bridges, David I. August |
From sequential programs to concurrent threads. |
IEEE Comput. Archit. Lett. |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Jaeheon Jeong, Michel Dubois 0001 |
Cache Replacement Algorithms with Nonuniform Miss Costs. |
IEEE Trans. Computers |
2006 |
DBLP DOI BibTeX RDF |
Cache, power, latency, trace-driven simulations, memory system, replacement policy |
15 | Zhiyi Yu, Bevan M. Baas |
Performance and Power Analysis of Globally Asynchronous Locally Synchronous Multi-Processor Systems. |
ISVLSI |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Shih-Wei Liao, Zhaohui Du, Gansha Wu, Guei-Yuan Lueh |
Data and Computation Transformations for Brook Streaming Applications on Multiprocessors. |
CGO |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Engin Ipek, José F. Martínez, Bronis R. de Supinski, Sally A. McKee, Martin Schulz 0001 |
Dynamic program phase detection in distributed shared-memory multiprocessors. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Resit Sendag, Ayse Yilmazer, Joshua J. Yi, Augustus K. Uht |
Quantifying and reducing the effects of wrong-path memory references in cache-coherent multiprocessor systems. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Wenlong Li, Eric Q. Li, Nan Di, Carole Dulong, Tao Wang 0003, Yimin Zhang 0002 |
On Parallelization of a Video Mining System. |
ICME |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Wenlong Li, Eric Q. Li, Carole Dulong, Yen-Kuang Chen, Tao Wang 0003, Yimin Zhang 0002 |
Workload Characterization of a Parallel Video Mining Application on a 16-Way Shared-Memory Multiprocessor System. |
IISWC |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Nasreddine Hireche, J. M. Pierre Langlois, Gabriela Nicolescu |
Survey of Biological High Performance Computing: Algorithms, Implementations and Outlook Research. |
CCECE |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Kaiyu Chen, Sharad Malik |
Dependable Multithreaded Processing Using Runtime Validation. |
PRDC |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Daniela Alvim Seabra de Oliveira, Jedidiah R. Crandall, Gary Wassermann, Shyhtsun Felix Wu, Zhendong Su 0001, Frederic T. Chong |
ExecRecorder: VM-based full-system replay for attack analysis and system recovery. |
ASID |
2006 |
DBLP DOI BibTeX RDF |
virtual machines, recovery, malware, worms, replay, invasive software |
15 | Xiaoqi Yang 0003, Qilong Zheng, Guoliang Chen 0001, Zhen Yao |
Reverse Compilation for Speculative Parallel Threading. |
PDCAT |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Andrea Di Blas, David M. Dahle, Mark Diekhans, Leslie Grate, Jeffrey D. Hirschberg, Kevin Karplus, Hansjörg Keller, Mark Kendrick, Francisco J. Mesa-Martinez, David Pease, Eric Rice, Angela Schultz, Don Speck, Richard Hughey |
The UCSC Kestrel Parallel Processor. |
IEEE Trans. Parallel Distributed Syst. |
2005 |
DBLP DOI BibTeX RDF |
VLSI system design, image processing, Parallel processing, high performance computing, computer architecture, systolic array, SIMD, DNA, computational chemistry, biological sequence analysis |
15 | Nathan Fisher, Sanjoy K. Baruah |
A Fully Polynomial-Time Approximation Scheme for Feasibility Analysis in Static-Priority Systems with Arbitrary Relative Deadlines. |
ECRTS |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Tali Moreshet, R. Iris Bahar, Maurice Herlihy |
Energy reduction in multiprocessor systems using transactional memory. |
ISLPED |
2005 |
DBLP DOI BibTeX RDF |
multiprocessor, power, transactional memory |
15 | Rafael Ramírez 0001, Andrew E. Santosa |
Formal Verification of Concurrent and Distributed Constraint-Based Java Programs. |
ICECCS |
2005 |
DBLP DOI BibTeX RDF |
|
15 | José María López, José Luis Díaz, Daniel F. García |
Utilization Bounds for EDF Scheduling on Real-Time Multiprocessor Systems. |
Real Time Syst. |
2004 |
DBLP DOI BibTeX RDF |
multiprocessor utilization bounds, partitioning, multiprocessor scheduling, earliest deadline first scheduling, bin-packing problem |
15 | Daehyun Kim, Mainak Chaudhuri, Mark A. Heinrich, Evan Speight |
Architectural Support for Uniprocessor and Multiprocessor Active Memory Systems. |
IEEE Trans. Computers |
2004 |
DBLP DOI BibTeX RDF |
Active memory systems, address remapping, flexible memory controller architecture, distributed shared memory, cache coherence protocol |
15 | José María López, José Luis Díaz, Daniel F. García |
Minimum and Maximum Utilization Bounds for Multiprocessor Rate Monotonic Scheduling. |
IEEE Trans. Parallel Distributed Syst. |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Jim Smith 0001, Sandra de F. Mendes Sampaio, Paul Watson 0001, Norman W. Paton |
The Design, Implementation and Evaluation of an ODMG Compliant, Parallel Object Database Server. |
Distributed Parallel Databases |
2004 |
DBLP DOI BibTeX RDF |
ODBMS, parallel |
15 | Rafael Ramírez 0001, Juanjo Martinez |
Constraint-Based Synchronization and Verification of Distributed Java Programs. |
ICLP |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Robert F. Stärk, Egon Börger |
An ASM Specification of C# Threads and the .NET Memory Model. |
Abstract State Machines |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Ali El-Haj-Mahmoud, Eric Rotenberg |
Safely exploiting multithreaded processors to tolerate memory latency in real-time systems. |
CASES |
2004 |
DBLP DOI BibTeX RDF |
real-time systems, multithreading, worst-case execution time, memory latency, schedulability test |
15 | Joshua J. Pieper, Alain Mellan, JoAnn M. Paul, Donald E. Thomas, Faraydon Karim |
High level cache simulation for heterogeneous multiprocessors. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
Performance, Design |
15 | Xue-bai Zang, Xiong-fei Li, Kun Zhao, Xin Guan |
A Data Mining Algorithm Based on Grid. |
GCC (2) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Qingguang Huang, Jingling Xue, Xavier Vera |
Code Tiling for Improving the Cache Performance of PDE Solvers. |
ICPP |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Tong Li 0003, Alvin R. Lebeck, Daniel J. Sorin |
Quantifying instruction criticality for shared memory multiprocessors. |
SPAA |
2003 |
DBLP DOI BibTeX RDF |
shared memory multiprocessors, slack, critical path analysis |
15 | Sanjoy K. Baruah, Joël Goossens, Giuseppe Lipari |
Implementing Constant-Bandwidth Servers upon Multiprocessor Platform. |
IEEE Real Time Technology and Applications Symposium |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Hakan Aydin, Rami G. Melhem, Daniel Mossé, Pedro Mejía-Alvarez |
Optimal Reward-Based Scheduling for Periodic Real-Time Tasks. |
IEEE Trans. Computers |
2001 |
DBLP DOI BibTeX RDF |
Real-time systems, imprecise computation, deadline scheduling, reward maximization, periodic task scheduling |
15 | Dakai Zhu 0001, Rami G. Melhem, Bruce R. Childers |
Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multi-Processor Real-Time Systems. |
RTSS |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Luiz André Barroso, Kourosh Gharachorloo, Andreas Nowatzyk, Ben Verghese |
Impact of Chip-Level Integration on Performance of OLTP Workloads. |
HPCA |
2000 |
DBLP DOI BibTeX RDF |
chip-level integration, database workloads, multiprocessors, memory system performance |
15 | Josep Torrellas, Liuxi Yang, Anthony-Trung Nguyen |
Toward a Cost-Effective DSM Organization That Exploits Processor-Memory Integration. |
HPCA |
2000 |
DBLP DOI BibTeX RDF |
directory controller, multiprocessor, reconfigurable, PIM, DSM, coherence protocol, NUMA, processor-in-memory, COMA |
15 | LihChyun Shu, Michal Young |
Chopping and versioning real-time transactions to avoid remote blocking. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
real time transaction versioning, remote blocking, versioning protocols, chopping analysis, pure locking protocols, 1-version serializability, design time information, simple data structures, small bounded overheads, worst case access times, scheduling, real-time systems, protocols, concurrency control, multiprocessors, multiprocessing systems, transaction processing, configuration management, schedulability analysis, hard real time systems, critical sections, locking protocols, database concurrency control |
15 | Chi-Keung Luk, Todd C. Mowry |
Automatic Compiler-Inserted Prefetching for Pointer-Based Applications. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
pointer-based applications, performance evaluation, Caches, prefetching, compiler optimization, shared-memory multiprocessors, recursive data structures |
15 | Kenneth J. Duda, David R. Cheriton |
Borrowed-virtual-time (BVT) scheduling: supporting latency-sensitive threads in a general-purpose schedular. |
SOSP |
1999 |
DBLP DOI BibTeX RDF |
|
15 | William J. Dally, Steve Lacy |
VLSI Architecture: Past, Present, and Future. |
ARVLSI |
1999 |
DBLP DOI BibTeX RDF |
|
15 | Lucian Codrescu, Mondira Deb Pant, Tarek M. Taha, John Eble, D. Scott Wills, James D. Meindl |
Exploring Microprocessor Architectures for Gigascale Integration. |
ARVLSI |
1999 |
DBLP DOI BibTeX RDF |
Billion Transistor, Future Tecnologies, Architecture, Microprocessors |
15 | Krishna M. Kavi, Hyong-Shik Kim, Joseph Arul, Ali R. Hurson |
A Decoupled Scheduled Dataflow Multithreaded Architecture. |
ISPAN |
1999 |
DBLP DOI BibTeX RDF |
Decoupling of memory access, Separate synchronization processor, Multithreaded architecture, Memory wall, Dataflow architecture |
15 | |
Adventures in the Mainframe Trade. |
IEEE Des. Test Comput. |
1997 |
DBLP DOI BibTeX RDF |
|
15 | Franco P. Preparata |
Should Amdahl's Law Be Repealed? (Abstract). |
ISAAC |
1995 |
DBLP DOI BibTeX RDF |
|
15 | Montse Peiron, Mateo Valero, Eduard Ayguadé, Tomás Lang |
Vector Multiprocessors with Arbitrated Memory Access. |
ISCA |
1995 |
DBLP DOI BibTeX RDF |
|
15 | Robert Cooper |
Experience with Causally and Totally Ordered Communication Support, A cautionary tale. |
ACM SIGOPS Oper. Syst. Rev. |
1994 |
DBLP DOI BibTeX RDF |
|
15 | Radhika Thekkath, Susan J. Eggers |
The Effectiveness of Multiple Hardware Contexts. |
ASPLOS |
1994 |
DBLP DOI BibTeX RDF |
|
15 | Steven K. Reinhardt, Mark D. Hill, James R. Larus, Alvin R. Lebeck, James C. Lewis, David A. Wood 0001 |
The Wisconsin Wind Tunnel: Virtual Prototyping of Parallel Computers. |
SIGMETRICS |
1993 |
DBLP DOI BibTeX RDF |
|
15 | Toshio Nakatani, Kemal Ebcioglu |
Using a lookahead window in a compaction-based parallelizing compiler. |
MICRO |
1990 |
DBLP BibTeX RDF |
|
15 | Kai Li 0001, Jeffrey F. Naughton, James S. Plank |
Real-Time, Concurrent Checkpoint for Parallel Programs. |
PPoPP |
1990 |
DBLP DOI BibTeX RDF |
DEBUG |
15 | Andrew W. Appel, John R. Ellis, Kai Li 0001 |
Real-Time Concurrent Collection on Stock Multiprocessors. |
PLDI |
1988 |
DBLP DOI BibTeX RDF |
LISP, Modula-2 |
15 | Andrew W. Appel |
Real-time concurrent collection on stock multiprocessors (with retrospective) |
Best of PLDI |
1988 |
DBLP DOI BibTeX RDF |
|
15 | Gordon Bell |
Future high performance computers. |
ICS |
1988 |
DBLP DOI BibTeX RDF |
|
15 | Thomas L. Sterling, D. Scott Wills, Ellery Y. Chan |
Tokenless static data flow using associative templates. |
SC |
1988 |
DBLP DOI BibTeX RDF |
|
15 | Karsten Schwan, Thomas E. Bihari, Bruce W. Weide, Gregor Taulbee |
High-Performance Operating System Primitives for Robotics and Real-Time Control Systems. |
ACM Trans. Comput. Syst. |
1987 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #94 of 94 (100 per page; Change: )
|
|