The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase XOR-gate (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1995-2002 (15) 2004-2013 (16) 2014-2022 (17) 2023-2024 (5)
Publication types (Num. hits)
article(25) incollection(1) inproceedings(27)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 29 occurrences of 25 keywords

Results
Found 53 publication records. Showing 53 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
62Yibin Ye, Kaushik Roy 0001, Rolf Drechsler Power Consumption in XOR-Based Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
50Yinshui Xia, A. E. A. Almaini Best Polarity for Low Power XOR Gate Decomposition. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Hamed F. Dadgour, Muhammad Mustafa Hussain, Casey Smith, Kaustav Banerjee Design and analysis of compact ultra energy-efficient logic gates using laterally-actuated double-electrode NEMS. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF energy-efficient electronics, laterally-actuated NEMS, nano-electro-mechanical switches, steep-subthreshold switch, logic design, process variation
35Chiou-Yng Lee, Erl-Huei Lu, Jau-Yien Lee Bit-Parallel Systolic Multipliers for GF(2m) Fields Defined by All-One and Equally Spaced Polynomials. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF AOP, finite field, Bit-parallel systolic multiplier, ESP
32Hai Zhou 0001, D. F. Wong 0001 Optimal low power X OR gate decomposition. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Andrzej Hlawiczka, Michael Gössel, Egor S. Sogomonyan A linear code-preserving signature analyzer COPMISR. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF linear separable code, code preserving multi-input signature register, COPMISR, concurrent checking, parity code, group -parity code, BIST, linear codes, Hamming code, duplication code, XOR-gate, signature analyzer, on-line error detection
27Geoff V. Merrett, Bashir M. Al-Hashimi Leakage Power Analysis and Comparison of Deep Submicron Logic Gates. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Chiou-Yng Lee, Ya-Cheng Lu, Erl-Huei Lu Low-complexity systolic multiplier over GF(2m) using weakly dual basis. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Dimitris Nikolos Self-Testing Embedded Two-Rail Checkers. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF parity tree, embedded self-testing, self testing, two-rail checker, parity checker
24Xiaoxuan Peng, Xiaohu Ge, Yajun Ha Modeling and Optimization of XOR Gate Based on Stochastic Thermodynamics. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Mrinal Goswami, Avayjeet Paul, Arpita Nath Boruah Zero Logic Based Stable Three Input QCA XOR Gate. Search on Bibsonomy ASCAT The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
24Uma Sharma, Mansi Jhamb Efficient Design of FGMOS-Based Low-Power Low-Voltage XOR Gate. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Seyed-Sajad Ahmadpour, Nima Jafari Navimipour, Mohammad Mosleh, Ali Newaz Bahar, Senay Yalçin A nano-scale n-bit ripple carry adder using an optimized XOR gate and quantum-dots technology with diminished cells and power dissipation. Search on Bibsonomy Nano Commun. Networks The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24András Horváth, Alon Ascoli, Ronald Tetzlaff Implementation of the XOR gate with two memristive neurons. Search on Bibsonomy MOCAST The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Ali H. Majeed, Esam Alkaldy High-performance adder using a new XOR gate in QCA technology. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Rithambara Shivraj Singh Rajput, Sujata Nandeshwar Patil 90 nm CMOS Implementation of Multiplicative Inverse of the S-Box for AES Algorithm Using Six Transistor XOR Gate. Search on Bibsonomy Int. J. e Collab. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Syed Farah Naz, Sajid Khan 0001, Ambika Prasad Shah Pass Transistor XOR Gate Based Radiation Hardened RO-PUF. Search on Bibsonomy VDAT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
24Ashif Raja, Kousik Mukherjee, J. N. Roy Analysis of new all optical polarization-encoded Dual SOA-based ternary NOT & XOR gate with simulation. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Syed Farah Naz, Ambika Prasad Shah, Suhaib Ahmed, Patrick Girard 0001, Michael Waltl Design of Fault-Tolerant and Thermally Stable XOR Gate in Quantum dot Cellular Automata. Search on Bibsonomy ETS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Ki Beom Lee, Sumin Lee, Sunghwan Joo, Hong Keun Ahn, Young Seok Jung, Seong-Ook Jung CNN encryption using XOR Gate for Hardware Optimization. Search on Bibsonomy ISOCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Ismail Gassoumi, Lamjed Touil, Abdellatif Mtibaa An efficient Design of three-input XOR gate in QCA technology. Search on Bibsonomy SSD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
24Katsuhiro Nishijima, Takashi Nakakuki XOR Gate Design Toward a Practical Complete Set for DNA Computing. Search on Bibsonomy New Gener. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Amer Kotb, Kyriakos E. Zoiros, Chunlei Guo 320 Gb/s all-optical XOR gate using semiconductor optical amplifier-Mach-Zehnder interferometer and delayed interferometer. Search on Bibsonomy Photonic Netw. Commun. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24Sen Wang, Ying Yang, Wenbin Song, Huanqing Cui, Cheng Li, Li Cai All-spin logic XOR gate implementation based on input interface. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
24Hossein Mohammadi, Keivan Navi Energy-Efficient Single-Layer QCA Logical Circuits Based on a Novel XOR Gate. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
24Jerzy Gorecki, Hiroyuki Kitahata, Yuki Koyano, Marian Gryciuk, Maciej Malecki, Nobuhiko J. Suematsu XOR Gate for Information Coded with Camphor Particles Moving on the Water Surface. Search on Bibsonomy Int. J. Unconv. Comput. The full citation details ... 2017 DBLP  BibTeX  RDF
24Hua Chen, Guiliang Guo, Qiangtao Lai, Yulin Zhang, Jingyu Han, Yuepeng Yan 0.3-4.4 GHz wideband CMOS frequency divide-by-1.5 with optimized CML-XOR gate. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Enaul haq Shaik, Nakkeeran Rangaswamy High contrast all-optical XOR gate with T-shaped photonic crystal waveguide using phase based interference. Search on Bibsonomy WOCN The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Lei Zhang 0089, Chenghua Wang, Weiqiang Liu 0001, Máire O'Neill, Fabrizio Lombardi XOR gate based low-cost configurable RO PUF. Search on Bibsonomy ISCAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Ahmed S. Emara, Ahmed H. Madian, Hassanein H. Amer, S. H. Amer, Mohamed B. Abdelhalim Testing of memristor ratioed logic (MRL) XOR gate. Search on Bibsonomy ICM The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
24Savas Konur, Marian Gheorghe 0001, Ciprian Dragomir, Florentin Ipate, Natalio Krasnogor Conventional Verification for Unconventional Computing: a Genetic XOR Gate Example. Search on Bibsonomy Fundam. Informaticae The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
24Nabihah Ahmad, S. M. Rezaul Hasan Low-power compact composite field AES S-Box/Inv S-Box design in 65 nm CMOS using Novel XOR Gate. Search on Bibsonomy Integr. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Salvador Manich, Martin Strasser A Highly Time Sensitive XOR Gate for Probe Attempt Detectors. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Manoj Sharma, Arti Noor CPL-Adiabatic Gated logic (CPLAG) XOR gate. Search on Bibsonomy ICACCI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Emma Lazzeri, Antonio Malacarne, Giovanni Serafino, Antonella Bogoni All-Optical XOR Gate for QPSK In-Phase and Quadrature Components Based on Periodically Poled Lithium Niobate Waveguide for Photonic Coding and Error Detection Applications. Search on Bibsonomy OSC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
24Jan Halamek, Vera Bocharova, Mary A. Arugula, Guinevere Strack, Vladimir Privman, Evgeny Katz Realization and Properties of Biochemical-Computing Biocatalytic XOR Gate Based on Enzyme Inhibition by a Substrate Search on Bibsonomy CoRR The full citation details ... 2011 DBLP  BibTeX  RDF
24Jared Tessier, Randa Ayoubi, Magdy A. Bayoumi Energy-efficient XOR gate with embedded level conversion for serial-link encoding. Search on Bibsonomy SiPS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
24Jeffrey Ventrella A Spherical XOR Gate Implemented in the Game of Life. Search on Bibsonomy Game of Life Cellular Automata The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
24Kyriakos E. Zoiros, Thanassis Siarkos Design rules for full pattern-operated all-optical XOR gate with single semiconductor optical amplifier-based ultrafast nonlinear interferometer. Search on Bibsonomy CSNDSP The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Silvia Ferrari, Bhavesh Mehta, Gianluca Di Muro, Antonius M. J. VanDongen, Craig Henriquez Biologically realizable reward-modulated hebbian training for spiking neural networks. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Chiou-Yng Lee, Che Wun Chiou, Jim-Min Lin Concurrent Error Detection in a Polynomial Basis Multiplier over GF(2m). Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cryptography, fault-tolerant computing, fault detection, multiplier, finite fields arithmetic
22P. Zhongliang Testable Realizations for ESOP Expressions of Logic Functions. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Chiou-Yng Lee, Che Wun Chiou New Bit-Parallel Systolic Architectures for Computing Multiplication, Multiplicative Inversion and Division in GF(2m) Under Polynomial Basis and Normal Basis Representations. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF finite field, normal basis, polynomial basis, bit-parallel systolic multiplier
18Ohsang Kwon, Kevin J. Nowka, Earl E. Swartzlander Jr. A 16-Bit by 16-Bit MAC Design Using Fast 5: 3 Compressor Cells. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 3:2 counter, 4:2 compressor, 5:3 compressor, 5:2 compressor, MAC, multiplier
13Sreehari Veeramachaneni, Kirthi M. Krishna, Lingamneni Avinash, Reddy Puppala Sreekanth, M. B. Srinivas Novel Architectures for High-Speed and Low-Power 3-2, 4-2 and 5-2 Compressors. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Sun-Mi Park, Ku-Young Chang, Dowon Hong Efficient Bit-Parallel Multiplier for Irreducible Pentanomials Using a Shifted Polynomial Basis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF shifted polynomial basis, irreducible pentanomial, finite field arithmetic, Bit-parallel multiplier
13Yuxiang Zheng, Jiang Li, Jin Liu, Qian Yu Automatic within-pair-skew compensation for 6.25 Gbps differential links using wide-bandwidth delay units. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Dilip V. Sarwate, Naresh R. Shanbhag High-speed architectures for Reed-Solomon decoders. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Hong-Yi Huang, Teng-Neng Wang High-speed CMOS logic circuits in capacitor coupling technique. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Janusz Rajski, Nagesh Tamarapalli, Jerzy Tyszer Automated synthesis of phase shifters for built-in self-testapplications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Hanan A. Mahmoud, Magdy A. Bayoumi A 10-transistor low-power high-speed full adder cell. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Janusz Rajski, Nagesh Tamarapalli, Jerzy Tyszer Automated synthesis of large phase shifters for built-in self-test. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Kuen-Jong Lee, Chih-Nan Wang, Rajiv Gupta 0002, Melvin A. Breuer An integrated system for assigning signal flow directions to CMOS transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #53 of 53 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license