|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 313 occurrences of 203 keywords
|
|
|
Results
Found 405 publication records. Showing 405 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
96 | Hiroshi Inoue, Hideaki Komatsu, Toshio Nakatani |
A study of memory management for web-based applications on multicore processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2009 ACM SIGPLAN Conference on Programming Language Design and Implementation, PLDI 2009, Dublin, Ireland, June 15-21, 2009, pp. 386-396, 2009, ACM, 978-1-60558-392-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
scripting language, web-based applications, dynamic memory management, region-based memory management |
94 | Yi Feng, Emery D. Berger |
A locality-improving dynamic memory allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Memory System Performance ![In: Proceedings of the 2005 workshop on Memory System Performance, Chicago, Illinois, USA, June 12, 2005, pp. 68-77, 2005, ACM, 1-59593-147-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
vam, memory management, virtual memory, paging, fragmentation, allocator, cache locality |
84 | Maged M. Michael |
Scalable lock-free dynamic memory allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2004 Conference on Programming Language Design and Implementation 2004, Washington, DC, USA, June 9-11, 2004, pp. 35-46, 2004, ACM, 1-58113-807-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
async-signal-safe, malloc, availability, lock-free |
80 | David Ryan Koes, Seth Copen Goldstein |
A global progressive register allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2006 Conference on Programming Language Design and Implementation, Ottawa, Ontario, Canada, June 11-14, 2006, pp. 204-215, 2006, ACM, 1-59593-320-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
progressive solver, register alocation |
80 | David Koes, Seth Copen Goldstein |
A Progressive Register Allocator for Irregular Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 3nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2005), 20-23 March 2005, San Jose, CA, USA, pp. 269-280, 2005, IEEE Computer Society, 0-7695-2298-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
80 | Emery D. Berger, Kathryn S. McKinley, Robert D. Blumofe, Paul R. Wilson 0001 |
Hoard: A Scalable Memory Allocator for Multithreaded Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-IX Proceedings of the 9th International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, MA, USA, November 12-15, 2000., pp. 117-128, 2000, ACM Press, 1-58113-317-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
80 | Voon-Yee Vee, Wen-Jing Hsu |
A Scalable and Efficient Storage Allocator on Shared Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1999 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '99), 23-25 June 1999, Fremantle, Australia, pp. 230-235, 1999, IEEE Computer Society, 0-7695-0231-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Parallel storage allocators, minimization of lock contention, scalable parallel algorithms |
74 | C. Murray Woodside, Gerald G. Monforton |
Fast Allocation of Processes in Distributed and Parallel Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(2), pp. 164-174, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
MULTIFIT-COM, static task allocator, automatedcompiler/linker/loader, bin-packing algorithm, high system throughput, asymptotic bound, digital signal processing system, clustering, distributed systems, load balancing, parallel processing, resource allocation, distributed processing, program compilers, parallel systems, interprocessor communication, operations research, distributed processing systems |
72 | Preston Briggs, Keith D. Cooper, Linda Torczon |
Rematerialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'92 Conference on Programming Language Design and Implementation (PLDI), San Francisco, California, USA, June 17-19, 1992, pp. 311-321, 1992, ACM, 0-89791-475-9. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
68 | Marc Ronell |
A C++ Pooled, Shared Memory Allocator for Simulator Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 37th Annual Simulation Symposium (ANSS-37 2004), 18-22 April 2004, Arlington, VA, USA, pp. 187-195, 2004, IEEE Computer Society, 0-7695-2110-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
60 | Alin Jula, Lawrence Rauchwerger |
Custom Memory Allocation for Free. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 19th International Workshop, LCPC 2006, New Orleans, LA, USA, November 2-4, 2006. Revised Papers, pp. 299-313, 2006, Springer, 978-3-540-72520-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
56 | Daniel U. Becker, William J. Dally |
Allocator implementations for network-on-chip routers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
56 | Wentong Li, Saraju P. Mohanty, Krishna M. Kavi |
A Page-based Hybrid (Software-Hardware) Dynamic Memory Allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 5(2), 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
56 | Keith D. Cooper, L. Taylor Simpson |
Live Range Splitting in a Graph Coloring Register Allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 7th International Conference, CC'98, Held as Part of the European Joint Conferences on the Theory and Practice of Software, ETAPS'98, Lisbon, Portugal, March 28 - April 4, 1998, Proceedings, pp. 174-187, 1998, Springer, 3-540-64304-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
48 | Alin Jula, Lawrence Rauchwerger |
Two memory allocators that use hints to improve locality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 8th International Symposium on Memory Management, ISMM 2009, Dublin, Ireland, June 19-20, 2009, pp. 109-118, 2009, ACM, 978-1-60558-347-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
48 | V. Krishna Nandivada, Fernando Magno Quintão Pereira, Jens Palsberg |
A Framework for End-to-End Verification and Evaluation of Register Allocators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 14th International Symposium, SAS 2007, Kongens Lyngby, Denmark, August 22-24, 2007, Proceedings, pp. 153-169, 2007, Springer, 978-3-540-74060-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
48 | Keith D. Cooper, Anshuman Dasgupta, Jason Eckhardt |
Revisiting Graph Coloring Register Allocation: A Study of the Chaitin-Briggs and Callahan-Koblenz Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 18th International Workshop, LCPC 2005, Hawthorne, NY, USA, October 20-22, 2005, Revised Selected Papers, pp. 1-16, 2005, Springer, 978-3-540-69329-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
48 | Sathyanarayanan Thammanur, Santosh Pande |
A fast, memory-efficient register allocation framework for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 26(6), pp. 938-974, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
embedded systems, compilers, Code generation, compiler optimizations, register allocation, dynamic compilation |
48 | Guei-Yuan Lueh, Thomas R. Gross, Ali-Reza Adl-Tabatabai |
Fusion-based register allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 22(3), pp. 431-470, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
performance evaluation, register allocation |
46 | Dawid Zydek, Henry Selvaraj, Laxmi P. Gewali |
Synthesis of Processor Allocator for Torus-Based Chip MultiProcessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Seventh International Conference on Information Technology: New Generations, ITNG 2010, Las Vegas, Nevada, USA, 12-14 April 2010, pp. 13-18, 2010, IEEE Computer Society, 978-0-7695-3984-3. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
FPGA, CMP, mesh, NoC, torus, hardware implementation, processor allocator |
44 | Junwei Zhang 0003, Jingliang Zhang, Xiaoming Han, Lu Xu 0001 |
A Storage Slab Allocator for Disk Storage Management in File System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NAS ![In: International Conference on Networking, Architecture, and Storage, NAS 2009, 9-11 July 2009, Zhang Jia Jie, Hunan, China, pp. 295-302, 2009, IEEE Computer Society, 978-0-7695-3741-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
44 | Miguel Masmano, Ismael Ripoll, Patricia Balbastre, Alfons Crespo |
A constant-time dynamic storage allocator for real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 40(2), pp. 149-179, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Real-time allocators, Allocation algorithms evaluation, Dynamic storage allocation |
44 | Min Zhang 0012, Chiu-sing Choy |
Low-Cost VC Allocator Design for Virtual Channel Wormhole Routers in Networks-on-Chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOCS ![In: Second International Symposium on Networks-on-Chips, NOCS 2008, 5-6 April 2008, Newcastle University, UK. Proceedings, pp. 207-208, 2008, IEEE Computer Society, 978-0-7695-3098-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
44 | Hong Min, Sangho Yi, Yookun Cho, Jiman Hong |
An efficient dynamic memory allocator for sensor operating systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007, pp. 1159-1164, 2007, ACM, 1-59593-480-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
sensor networks, operating system, memory management, dynamic memory allocation |
44 | Richard L. Hudson, Bratin Saha, Ali-Reza Adl-Tabatabai, Ben Hertzberg |
McRT-Malloc: a scalable transactional memory allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 5th International Symposium on Memory Management, ISMM 2006, Ottawa, Ontario, Canada, June 10-11, 2006, pp. 74-83, 2006, ACM, 1-59593-221-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
synchronization, memory management, transactional memory, runtimes |
44 | Jongwoo Lee, Sung Dong Kim, Jae Won Lee, Jangmin O |
CSTallocator: Call-Site Tracing Based Shared Memory Allocator for False Sharing Reduction in Page-Based DSM Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, Second International Conference, HPCC 2006, Munich, Germany, September 13-15, 2006, Proceedings, pp. 148-159, 2006, Springer, 3-540-39368-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Call Site Tracing, Distributed Shared Memory, Dynamic Memory Allocation, False Sharing |
44 | Simon Kahan, Petr Konecny |
"MAMA!": a memory allocator for multithreaded architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2006, New York, New York, USA, March 29-31, 2006, pp. 178-186, 2006, ACM, 1-59593-189-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Eldorado, MTA, malloc, parallel memory allocation, multithreading, combining |
44 | Christian Wimmer, Hanspeter Mössenböck |
Optimized interval splitting in a linear scan register allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 1st International Conference on Virtual Execution Environments, VEE 2005, Chicago, IL, USA, June 11-12, 2005, pp. 132-141, 2005, ACM, 1-59593-047-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
linear scan, java, optimization, compilers, graph-coloring, register allocation, just-in-time compilation |
44 | Changqing Fu, Kent D. Wilken |
A faster optimal register allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 35th Annual International Symposium on Microarchitecture, Istanbul, Turkey, November 18-22, 2002, pp. 245-256, 2002, ACM/IEEE Computer Society, 0-7695-1859-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
40 | Ferrol Aderholdt, Manjunath Gorentla Venkata, Zachary W. Parchman |
SharP Unified Memory Allocator: An Intent-Based Memory Allocator for Extreme-Scale Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2018: Parallel Processing - 24th International Conference on Parallel and Distributed Computing, Turin, Italy, August 27-31, 2018, Proceedings, pp. 533-545, 2018, Springer, 978-3-319-96982-4. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
40 | Jeff Bonwick |
The Slab Allocator: An Object-Caching Kernel Memory Allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
USENIX Summer ![In: USENIX Summer 1994 Technical Conference, Boston, Massachusetts, USA, June 6-10, 1994, Conference Proceeding, pp. 87-98, 1994, USENIX Association, 1-880446-62-6. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
36 | Lian Li 0002, Hui Feng, Jingling Xue |
Compiler-directed scratchpad memory management via graph coloring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 6(3), pp. 9:1-9:17, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
live range splitting, memory coloring, graph coloring, memory allocation, Scratchpad memory, register coalescing, software-managed cache |
36 | Heiko Falk |
WCET-aware register allocation based on graph coloring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 726-731, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
register allocation, WCET |
36 | Jens Palsberg |
Verification of Register Allocators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VMCAI ![In: Verification, Model Checking, and Abstract Interpretation, 9th International Conference, VMCAI 2008, San Francisco, USA, January 7-9, 2008, Proceedings, pp. 6, 2008, Springer, 978-3-540-78162-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos |
Scalable locality-conscious multithreaded memory allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 5th International Symposium on Memory Management, ISMM 2006, Ottawa, Ontario, Canada, June 10-11, 2006, pp. 84-94, 2006, ACM, 1-59593-221-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
synchronization-free, shared memory, multithreading, memory management, non-blocking |
36 | Yuqiang Huang, Bruce R. Childers, Mary Lou Soffa |
Catching and Identifying Bugs in Register Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAS ![In: Static Analysis, 13th International Symposium, SAS 2006, Seoul, Korea, August 29-31, 2006, Proceedings, pp. 281-300, 2006, Springer, 3-540-37756-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
36 | Khaing Khaing Kyi Win, Weng-Fai Wong |
Cooperative Instruction Scheduling with Linear Scan Register Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2005, 12th International Conference, Goa, India, December 18-21, 2005, Proceedings, pp. 528-537, 2005, Springer, 3-540-30936-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
36 | Emery D. Berger, Benjamin G. Zorn, Kathryn S. McKinley |
Reconsidering custom memory allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Object-Oriented Programming Systems, Languages and Applications, OOPSLA 2002, Seattle, Washington, USA, November 4-8, 2002., pp. 1-12, 2002, ACM, 1-58113-471-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
36 | Jinpyo Park, Je-Hyung Lee, Soo-Mook Moon |
Register Allocation for Banked Register File. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES/OM ![In: Proceedings of The Workshop on Languages, Compilers, and Tools for Embedded Systems (LCTES 2001), June 22-23, 2001 / The Workshop on Optimization of Middleware and Distributed Systems (OM 2001), June 18, 2001, Snowbird, Utah, USA, pp. 39-47, 2001, ACM, 1-58113-425-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
banked register file, register allocation |
36 | James R. Goodman, Wei-Chung Hsu |
Code scheduling and register allocation in large basic blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 2nd international conference on Supercomputing, ICS 1988, Saint Malo, France, July 4-8, 1988, pp. 442-452, 1988, ACM, 0-89791-272-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
32 | Jongwoo Lee, Young-Ho Park 0002, Yongik Yoon 0001 |
SCSTallocator: Sized and Call-Site Tracing-Based Shared Memory Allocator for False Sharing Reduction in Page-Based DSM Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAL ![In: Intelligent Data Engineering and Automated Learning - IDEAL 2007, 8th International Conference, Birmingham, UK, December 16-19, 2007, Proceedings, pp. 908-918, 2007, Springer, 978-3-540-77225-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Sized Allocation, Call Site Tracing, Distributed Shared Memory, Dynamic Memory Allocation, False Sharing |
32 | Jon MacLaren |
HARC: The Highly-Available Resource Co-allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM Conferences (2) ![In: On the Move to Meaningful Internet Systems 2007: CoopIS, DOA, ODBASE, GADA, and IS, OTM Confederated International Conferences CoopIS, DOA, ODBASE, GADA, and IS 2007, Vilamoura, Portugal, November 25-30, 2007, Proceedings, Part II, pp. 1385-1402, 2007, Springer, 978-3-540-76835-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
32 | Amit Kumar 0002, Partha Kundu, Arvind P. Singh, Li-Shiuan Peh, Niraj K. Jha |
A 4.6Tbits/s 3.6GHz single-cycle NoC router with a novel switch allocator in 65nm CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 63-70, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
32 | Raghuvel S. Bhuvaneswaran, Yoshiaki Katayama, Naohisa Takahashi |
Coordinated Co-allocator Model for Data Grid in Multi-sender Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSOC ![In: Service-Oriented Computing - ICSOC 2006, 4th International Conference, Chicago, IL, USA, December 4-7, 2006, Proceedings, pp. 66-77, 2006, Springer, 3-540-68147-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
parallel data transfer, Data grid, co-allocation, GridFTP |
32 | Miguel Masmano, Ismael Ripoll, Alfons Crespo, Jorge Real |
TLSF: A New Dynamic Memory Allocator for Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 16th Euromicro Conference on Real-Time Systems (ECRTS 2004), 30 June - 2 July 1004, Catania, Italy, Proceedings, pp. 79-86, 2004, IEEE Computer Society, 0-7695-2176-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Real-time operating systems, memory allocation |
32 | Yusuf Hasan, J. Morris Chang |
A hybrid allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2003 IEEE International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings, pp. 214-222, 2003, IEEE Computer Society, 0-7803-7756-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
32 | Kameswari V. Garigipati, Cindy Norris |
Evaluating the use of profiling by a region-based register allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2002 ACM Symposium on Applied Computing (SAC), March 10-14, 2002, Madrid, Spain, pp. 953-957, 2002, ACM, 1-58113-445-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
region-based register allocation, profiling |
32 | C. Bertazzoni, Fosca Giannotti |
RASP: A Resource Allocator for Software Projects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE (Vol. 2) ![In: Proceedings of the Third International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, IEA/AIE 1990, July 15-18, 1990, The Mills House Hotel, Charleston, SC, USA - Volume 2, pp. 628-637, 1990, ACM, 0-89791-372-8. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
26 | Dawid Zydek, Grzegorz Chmaj, Henry Selvaraj |
Extended Analysis of Resource Assignment in Modern Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSEng ![In: 21st International Conference on Systems Engineering (ICSEng 2011), Las Vegas, NV, USA, Aug. 16-18, 2011, pp. 457-458, 2011, IEEE, 978-1-4577-1078-0. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Load Balance, Network-on-chip, CMP, Energy, Processor Allocator |
26 | Yusuf Hasan, Wei-Mei Chen, J. Morris Chang, Bashar Gharaibeh |
Upper Bounds for Dynamic Memory Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 59(4), pp. 468-477, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
memory storage, storage allocation/deallocation policies, first-fit allocator, garbage collection, Dynamic memory allocation |
26 | Zoe C. H. Yu, Francis C. M. Lau 0001, Cho-Li Wang |
Object co-location and memory reuse for Java programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 4(4), pp. 4:1-4:36, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
memory reuse, object co-location, Java, mutator, Memory allocator, garbage collector |
26 | Jongmoo Choi, Seungjae Baek, Sung Y. Shin |
Design and implementation of a kernel resource protector for robustness of Linux module programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2006 ACM Symposium on Applied Computing (SAC), Dijon, France, April 23-27, 2006, pp. 1477-1481, 2006, ACM, 1-59593-108-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
loadable module, reclaim, resource protector, slab allocator, fault tolerance, robustness, memory leak, linux kernel |
26 | Erich L. Kaltofen, Dmitriy Morozov, George Yuhasz |
Generic matrix multiplication and memory management in linBox. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSAC ![In: Symbolic and Algebraic Computation, International Symposium ISSAC 2005, Beijing, China, July 24-27, 2005, Proceedings, pp. 216-223, 2005, ACM, 1-59593-095-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
C++ allocator, exact linear algebra, garbage collection, memory management, system integration, C++ templates, black box matrix |
24 | Christian Wimmer, Michael Franz |
Linear scan register allocation on SSA form. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the CGO 2010, The 8th International Symposium on Code Generation and Optimization, Toronto, Ontario, Canada, April 24-28, 2010, pp. 170-179, 2010, ACM, 978-1-60558-635-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
SSA form deconstruction, lifetime analysis, linear scan, Java, register allocation, just-in-time compilation, SSA form |
24 | Minwook Ahn, Yunheung Paek |
Register coalescing techniques for heterogeneous register architecture with copy sifting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 8(2), pp. 16:1-16:37, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
heterogeneous register architecture, compiler, Register allocation, embedded processors, register coalescing |
24 | Takeshi Ogasawara |
NUMA-aware memory manager with dominant-thread-based copying GC. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 24th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2009, October 25-29, 2009, Orlando, Florida, USA, pp. 377-390, 2009, ACM, 978-1-60558-766-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
java, cc-NUMA |
24 | Ross McIlroy, Peter Dickman, Joe Sventek |
Efficient dynamic heap allocation of scratch-pad memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 7th International Symposium on Memory Management, ISMM 2008, Tucson, AZ, USA, June 7-8, 2008, pp. 31-40, 2008, ACM, 978-1-60558-134-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
on-core memory, concurrency, memory management |
24 | Behnam Robatmili, Katherine E. Coons, Doug Burger, Kathryn S. McKinley |
Register Bank Assignment for Spatially Partitioned Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 21th International Workshop, LCPC 2008, Edmonton, Canada, July 31 - August 2, 2008, Revised Selected Papers, pp. 64-79, 2008, Springer, 978-3-540-89739-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
24 | Minwook Ahn, Jooyeon Lee, Yunheung Paek |
Optimistic coalescing for heterogeneous register architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 93-102, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
heterogeneous register architecture, register coalesing, compiler, register allocation, embedded processors |
24 | Chao-Feng Lin, Shan-Li Hu, Xian-Wei Lai, Sheng-Fu Zheng, She-Xiong Su |
An Anytime Coalition Restructuring Algorithm in an Open Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (1) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, Third International Conference on Intelligent Computing, ICIC 2007, Qingdao, China, August 21-24, 2007, Proceedings, pp. 80-89, 2007, Springer, 978-3-540-74170-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Coalition restructuring, Multi-agent system, Coalition formation |
24 | Jihua Zhou, Yubo Yang, Di Pang, Xin Jin, Jinglin Shi, Zhongcheng Li |
Contention region allocation optimization in ieee 802.16 ofdma systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSWiM ![In: Proceedings of the 10th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2007, Chania, Crete Island, Greece, October 22-26, 2007, pp. 197-200, 2007, ACM, 978-1-59593-851-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
optimization, wimax, contention, allocation, ranging, 802.16 |
24 | Dinakar Dhurjati, Vikram S. Adve |
Efficiently Detecting All Dangling Pointer Uses in Production Servers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2006 International Conference on Dependable Systems and Networks (DSN 2006), 25-28 June 2006, Philadelphia, Pennsylvania, USA, Proceedings, pp. 269-280, 2006, IEEE Computer Society, 0-7695-2607-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
24 | Keith D. Cooper, Anshuman Dasgupta |
Tailoring Graph-coloring Register Allocation For Runtime Compilation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), 26-29 March 2006, New York, New York, USA, pp. 39-49, 2006, IEEE Computer Society, 0-7695-2499-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
24 | John Cavazos, J. Eliot B. Moss, Michael F. P. O'Boyle |
Hybrid Optimizations: Which Optimization Algorithm to Use?. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 15th International Conference, CC 2006, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2006, Vienna, Austria, March 30-31, 2006, Proceedings, pp. 124-138, 2006, Springer, 3-540-33050-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
24 | Stylianos Mamagkakis, David Atienza, Christophe Poucet, Francky Catthoor, Dimitrios Soudris |
Energy-efficient dynamic memory allocators at the middleware level of embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Proceedings of the 6th ACM & IEEE International conference on Embedded software, EMSOFT 2006, October 22-25, 2006, Seoul, Korea, pp. 215-222, 2006, ACM, 1-59593-542-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
heap data, low-energy consumption, embedded systems, middleware, dynamic memory allocation |
24 | Yvon Jégou |
Dynamic Memory Management on Mome DSM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: Sixth IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2006), 16-19 May 2006, Singapore, pp. 16, 2006, IEEE Computer Society, 0-7695-2585-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
memory management, OpenMP, DSM |
24 | Alfons Crespo, Ismael Ripoll, Miguel Masmano |
Dynamic Memory Management for Embedded Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DIPES ![In: From Model-Driven Design to Resource Management for Distributed Embedded Systems, IFIP TC 10 Working Conference on Distributed and Parallel Embedded Systems (DIPES 2006), October 11-13, 2006, Braga, Portugal, pp. 195-204, 2006, Springer, 978-0-387-39361-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
real-time systems, embedded systems, Dynamic memory allocation |
24 | Yves Younan, Wouter Joosen, Frank Piessens |
Efficient Protection Against Heap-Based Buffer Overflows Without Resorting to Magic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICICS ![In: Information and Communications Security, 8th International Conference, ICICS 2006, Raleigh, NC, USA, December 4-7, 2006, Proceedings, pp. 379-398, 2006, Springer, 3-540-49496-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
24 | K. Vasanta Lakshmi, Deepak Sreedhar, Easwaran Raman, Priti Shankar |
Integrating a New Cluster Assignment and Scheduling Algorithm into an Experimental Retargetable Code Generation Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPC ![In: High Performance Computing - HiPC 2005, 12th International Conference, Goa, India, December 18-21, 2005, Proceedings, pp. 518-527, 2005, Springer, 3-540-30936-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Anders Gidenstam, Marina Papatriantafilou, Philippas Tsigas |
Allocating Memory in a Lock-Free Manner. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESA ![In: Algorithms - ESA 2005, 13th Annual European Symposium, Palma de Mallorca, Spain, October 3-6, 2005, Proceedings, pp. 329-342, 2005, Springer, 3-540-29118-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Chihang Yau, Yiyu Tan, Anthony S. Fong, Wing Shing Yu |
Hardware Concurrent Garbage Collection for Short-Lived Objects in Mobile Java Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing - EUC 2005, International Conference EUC 2005, Nagasaki, Japan, December 6-9, 2005, Proceedings, pp. 47-56, 2005, Springer, 3-540-30807-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
24 | Jangmin O, Jae Won Lee, Jongwoo Lee, Byoung-Tak Zhang |
Dynamic Asset Allocation Exploiting Predictors in Reinforcement Learning Framework. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECML ![In: Machine Learning: ECML 2004, 15th European Conference on Machine Learning, Pisa, Italy, September 20-24, 2004, Proceedings, pp. 298-309, 2004, Springer, 3-540-23105-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
24 | Xiaotong Zhuang, Santosh Pande |
Resolving Register Bank Conflicts for a Network Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 12th International Conference on Parallel Architectures and Compilation Techniques (PACT 2003), 27 September - 1 October 2003, New Orleans, LA, USA, pp. 269-278, 2003, IEEE Computer Society, 0-7695-2021-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
24 | Dae-Hwan Kim, Hyuk-Jae Lee |
Register Allocation Based on a Reference Flow Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APLAS ![In: Programming Languages and Systems, First Asian Symposium, APLAS 2003, Beijing, China, November 27-29, 2003, Proceedings, pp. 394-409, 2003, Springer, 3-540-20536-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
24 | Dae-Hwan Kim, Hyuk-Jae Lee |
Fine-Grain Register Allocation Based on a Global Spill Costs Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCOPES ![In: Software and Compilers for Embedded Systems, 7th International Workshop, SCOPES 2003, Vienna, Austria, September 24-26, 2003, Proceedings, pp. 255-269, 2003, Springer, 3-540-20145-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
24 | Erik Johansson, Konstantinos Sagonas |
Linear Scan Register Allocation in a High-Performance Erlang Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, 4th International Symposium, PADL 2002, Portland, OR, USA, January 19-20, 2002, Proceedings, pp. 101-119, 2002, Springer, 3-540-43092-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
24 | Bernhard Scholz, Erik Eckstein |
Register allocation for irregular architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES-SCOPES ![In: Proceedings of the 2002 Joint Conference on Languages, Compilers, and Tools for Embedded Systems & Software and Compilers for Embedded Systems (LCTES'02-SCOPES'02), Berlin, Germany, 19-21 June 2002, pp. 139-148, 2002, ACM, 1-58113-527-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
boolean quadratic problem, register allocation |
24 | Isabelle Puaut |
Real-Time Performance of Dynamic Memory Allocation Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 14th Euromicro Conference on Real-Time Systems (ECRTS 2002), 19-21 June 2002, Vienna, Austria, Proceedings, pp. 41-49, 2002, IEEE Computer Society, 0-7695-1665-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
24 | Maurice Herlihy, Victor Luchangco, Mark Moir |
The Repeat Offender Problem: A Mechanism for Supporting Dynamic-Sized, Lock-Free Data Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 16th International Conference, DISC 2002, Toulouse, France, October 28-30, 2002 Proceedings, pp. 339-353, 2002, Springer, 3-540-00073-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
24 | Yoshio Tanaka, Mitsuhisa Sato, Motonori Hirano, Hidemoto Nakada, Satoshi Sekiguchi |
Resource Manager for Globus-Based Wide-Area Cluster Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWCC ![In: International Workshop on Cluster Computing (IWCC '99), 2-3 December 1999, Melbourne, Australia, pp. 237-, 1999, IEEE Computer Society, 0-7695-0343-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Wide-area Cluster Computing, Firewall, Globus, Cluster Systems, Global Computing |
24 | Moonsoo Kang, Chansu Yu |
Job-Based Queue Delay Modeling in a Space-Shared Hypercube. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: Proceedings of the 1999 International Conference on Parallel Processing Workshops, ICPPW 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 313-318, 1999, IEEE Computer Society, 0-7695-0353-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
topological delay, processor allocation, space sharing, queue delay, Hypercube computer |
24 | Srivatsan Srinivasan, Lizy Kurian John |
On the Use of Pseudorandom Sequences for High Speed Resource Allocators in Superscalar Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 124-130, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
hardware resource allocation, superscalar processor, pseudorandom sequences, reorder buffer |
24 | Per-Åke Larson, Murali Krishnan |
Memory Allocation for Long-Running Server Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: International Symposium on Memory Management, ISMM '98, Vancouver, British Columbia, Canada, 17-19 October, 1998, Conference Proceedings, pp. 176-185, 1998, ACM, 1-58113-114-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
cache-conscious algorithms, multiprocessor scalability, reducing lock contention, server applications, concurrency, dynamic memory allocation |
24 | Mark S. Johnstone, Paul R. Wilson 0001 |
The Memory Fragmentation Problem: Solved? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: International Symposium on Memory Management, ISMM '98, Vancouver, British Columbia, Canada, 17-19 October, 1998, Conference Proceedings, pp. 26-36, 1998, ACM, 1-58113-114-3. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
C++, C |
24 | Cindy Norris, Lori L. Pollock |
register Allocation over the Program Dependence Graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'94 Conference on Programming Language Design and Implementation (PLDI), Orlando, Florida, USA, June 20-24, 1994, pp. 266-277, 1994, ACM, 0-89791-662-X. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
24 | Dirk Grunwald, Benjamin G. Zorn, Robert Henderson |
Improving the Cache Locality of Memory Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'93 Conference on Programming Language Design and Implementation (PLDI), Albuquerque, New Mexico, USA, June 23-25, 1993, pp. 177-186, 1993, ACM, 0-89791-598-4. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
C |
24 | Priyadarshan Kolte, Mary Jean Harrold |
Load/Store Range Analysis for Global Register Allocation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'93 Conference on Programming Language Design and Implementation (PLDI), Albuquerque, New Mexico, USA, June 23-25, 1993, pp. 268-277, 1993, ACM, 0-89791-598-4. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
C, FORTRAN |
24 | Morris E. Kranc |
A Separate Compilation Facility for Pascal. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 17(5), pp. 38-46, 1982. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
24 | David R. Barach, David H. Taenzer, Robert E. Wells |
A technique for finding storage allocation errors in C-language programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 17(7), pp. 32-38, 1982. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
24 | David R. Barach, David H. Taenzer |
A Technique for Finding Storage Allocation Errors in C-language Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 17(5), pp. 16-23, 1982. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
20 | Takato Hideshima, Shigeyuki Sato 0001, Tomoharu Ugawa |
Collective Allocator Abstraction to Control Object Spatial Locality in C++. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Art Sci. Eng. Program. ![In: Art Sci. Eng. Program. 8(3), 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Ruizhe Wang, Meng Xu, N. Asokan |
S2malloc: Statistically Secure Allocator for Use-After-Free Protection And More. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.01894, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Antonin Reitz, Aymeric Fromherz, Jonathan Protzenko |
StarMalloc: A Formally Verified, Concurrent, Performant, and Security-Oriented Memory Allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.09435, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Ruizhe Wang, Meng Xu, N. Asokan |
SeMalloc: Semantics-Informed Memory Allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2402.03373, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Takato Hideshima, Shigeyuki Sato 0001, Tomoharu Ugawa |
Collective Allocator Abstraction to Control Object Spatial Locality in C++. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2403.02183, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Zhiwang Yu, Chaoshu Yang, Runyu Zhang, Pengpeng Tian, Xianyu He, Lening Zhou, Hui Li, Duo Liu |
Wear-leveling-aware buddy-like memory allocator for persistent memory file systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Future Gener. Comput. Syst. ![In: Future Gener. Comput. Syst. 150, pp. 37-48, January 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Priscilla Benedetti, Giuseppe Coviello, Kunal Rao, Srimat Chakradhar |
LARA: Latency-Aware Resource Allocator for Stream Processing Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDP ![In: 32nd Euromicro International Conference on Parallel, Distributed and Network-Based Processing, PDP 2024, Dublin, Ireland, March 20-22, 2024, pp. 68-77, 2024, IEEE, 979-8-3503-6307-4. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Zhuangzhuang Zhou, Vaibhav Gogte, Nilay Vaish, Chris Kennelly, Patrick Xia 0001, Svilen Kanev, Tipp Moseley, Christina Delimitrou, Parthasarathy Ranganathan |
Characterizing a Memory Allocator at Warehouse Scale. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS (3) ![In: Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, ASPLOS 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024, pp. 192-206, 2024, ACM. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Seyed Ali Jokar Jandaghi, Kaveh Mahdaviani, Amirhossein Mirhosseini, Sameh Elnikety, Cristiana Amza, Bianca Schroeder |
AUDIBLE: A Convolution-Based Resource Allocator for Oversubscribing Burstable Virtual Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS (3) ![In: Proceedings of the 29th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, ASPLOS 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024, pp. 119-132, 2024, ACM. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Jin Zhou, Sam Silvestro, Steven (Jiaxun) Tang, Hanmei Yang, Hongyu Liu 0005, Guangming Zeng, Bo Wu 0002, Cong Liu, Tongping Liu |
MemPerf: Profiling Allocator-Induced Performance Slowdowns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. ACM Program. Lang. ![In: Proc. ACM Program. Lang. 7(OOPSLA2), pp. 1418-1441, October 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 405 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ >>] |
|