The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for budgeting with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1980 (16) 1981-1996 (17) 1997-2000 (22) 2001-2002 (17) 2003 (15) 2004 (20) 2005-2006 (44) 2007 (22) 2008 (28) 2009 (16) 2010 (23) 2011-2012 (26) 2013-2014 (25) 2015 (19) 2016 (16) 2017 (19) 2018 (24) 2019 (16) 2020 (23) 2021 (38) 2022 (30) 2023 (53) 2024 (10)
Publication types (Num. hits)
article(227) incollection(4) inproceedings(307) phdthesis(1)
Venues (Conferences, Journals, ...)
CoRR(49) DAC(17) IEEE Trans. Comput. Aided Des....(16) Eur. J. Oper. Res.(14) AAMAS(11) ICCAD(11) WSC(11) ASP-DAC(9) IJCAI(9) Oper. Res.(9) AAAI(8) AMCIS(8) LESS(8) DATE(7) HICSS(7) ICEGOV(7) More (+10 of total 249)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 175 occurrences of 137 keywords

Results
Found 539 publication records. Showing 539 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
153Chao-Yang Yeh, Malgorzata Marek-Sadowska Minimum-Area Sequential Budgeting for FPGA. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
139Chao-Yang Yeh, Malgorzata Marek-Sadowska Sequential delay budgeting with interconnect prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
120Yuichi Nakamura 0002, Mitsuru Tagata, Takumi Okamoto, Shigeyoshi Tawada, Ko Yoshikawa Budgeting-free hierarchical design method for large scale and high-performance LSIs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF budgeting, physical synthesis, hierarchical design
99Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, low power, retiming
99Elaheh Bozorgzadeh, Soheil Ghiasi, Atsushi Takahashi 0001, Majid Sarrafzadeh Optimal integer delay budgeting on directed acyclic graphs. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
95Elaheh Bozorgzadeh, Soheil Ghiasi, Atsushi Takahashi 0001, Majid Sarrafzadeh Optimal integer delay-budget assignment on directed acyclic graphs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
93Chao-Yang Yeh, Malgorzata Marek-Sadowska Delay budgeting in sequential circuit with application on FPGA placement. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay budgeting, FPGA, placement, sequential circuits
85Xueqian Zhao, Yonghe Guo, Zhuo Feng, Shiyan Hu Parallel hierarchical cross entropy optimization for on-chip decap budgeting. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallel computing, cross-entropy, decoupling capacitor
85Olivier Omedes, Michel Robert, Mohammed Ramdani A flexibility aware budgeting for hierarchical flow timing closure. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
81Soheil Ghiasi, Elaheh Bozorgzadeh, Siddharth Choudhuri, Majid Sarrafzadeh A unified theory of timing budget management. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
79Bjarte Bogsnes Keynote: Beyond Budgeting in a Lean and Agile World. Search on Bibsonomy XP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Beyond budgeting, lean development, agile development, leadership
79Chao-Yang Yeh, Malgorzata Marek-Sadowska Sequential delay budgeting with interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay budgeting, sequential circuits, interconnect prediction
74Le Kang, Yici Cai, Yi Zou, Jin Shi, Xianlong Hong, Sheldon X.-D. Tan Fast Decoupling Capacitor Budgeting for Power/Ground Network Using Random Walk Approach. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF programming method, decoupling capacitor budgeting algorithm, random walk approach, decap budgeting algorithm, power ground network design, isolation property, decap optimization process, leakage currents optimization algorithm, refined leakage model, heuristic method
72Ripal Nathuji, Karsten Schwan Vpm tokens: virtual machine-aware power budgeting in datacenters. Search on Bibsonomy HPDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF virtualization, power management
72Jinjun Xiong, Lei He 0001 Full-chip routing optimization with RLC crosstalk budgeting. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
72Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez A delay budgeting algorithm ensuring maximum flexibility in placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
60Lawrence D. Phillips, Carlos A. Bana e Costa Transparent prioritisation, budgeting and resource allocation with multi-criteria decision analysis and decision conferencing. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Managerial decision making, Multi-criteria decision analysis, Prioritisation, Commons dilemma, Capital planning, Capital budgeting, Resource allocation, System design, Procurement, Real-world applications, Budgeting, Equity
58Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan Physical synthesis for FPGA interconnect power reduction by dual-Vdd budgeting and retiming. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, Low power, retiming
58Ian Gow, Stefan Reichelstein Capital Budgeting: The Role of Cost Allocations. Search on Bibsonomy OR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
58Juan Carlos Vidal, Manuel Lama, Alberto Bugarín, Senén Barro Problem-Solving Analysis for the Budgeting Task in Furniture Industry. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
54Love Singhal, Elaheh Bozorgzadeh, David Eppstein Interconnect Criticality-Driven Delay Relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
54Soheil Ghiasi, Elaheh Bozorgzadeh, Po-Kuan Huang, Roozbeh Jafari, Majid Sarrafzadeh A Unified Theory of Timing Budget Management. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Ricardo Matheus, Manuella Maia Ribeiro Models for citizen engagement in Latin American: case studies of public digital budgeting. Search on Bibsonomy ICEGOV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Latin American, citizen engagement, public digital budgeting, e-governance, e-government
52Jan vom Brocke, Christian Buddendick, Alexander Simons Reference Modeling for Higher Education Budgeting: Applying the H2 Toolset for Conceptual Modeling of Performance-Based Funding Systems. Search on Bibsonomy Business Process Management Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF H2, Higher Education (HE), Performance-Based Funding, Reference Modeling, Information Model, Budgeting, Incentive System, Indicator System
52Min Zhao 0001, Rajendran Panda, Savithri Sundareswaran, Shu Yan, Yuhong Fu A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sequence of linear programming, macromodeling, budgeting, decoupling capacitance
44Yiyu Shi 0001, Jinjun Xiong, Chunchen Liu, Lei He 0001 Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Peng-Yang Hung, Ying-Shu Lou, Yih-Lang Li Minimum Shield Insertion on Full-Chip RLC Crosstalk Budgeting Routing. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Shield insertion, track routing, crosstalk optimization, global routing
44Yiyu Shi 0001, Jinjun Xiong, Chunchen Liu, Lei He 0001 Efficient decoupling capacitance budgeting considering operation and process variations. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Ruiming Chen, Hai Zhou 0001 Timing budgeting under arbitrary process variations. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Pieter J. L. Cuijpers, Reinder J. Bril Towards Budgeting in Real-Time Calculus: Deferrable Servers. Search on Bibsonomy FORMATS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Jialin Mi, Chunhong Chen Power-Oriented Delay Budgeting for Combinational Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Jin-Tai Yan, Kai-Ping Lin, Yue-Fong Luo Floorplan-aware decoupling capacitance budgeting on equivalent circuit model. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Jialin Mi, Chunhong Chen, H. K. Kwan Power-oriented delay budgeting for combinational circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Jinjun Xiong, Jun Chen 0008, James D. Z. Ma, Lei He 0001 Post global routing RLC crosstalk budgeting. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez Unification of Budgeting and Placement. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
39Tadaaki Tanimoto, Seiji Yamaguchi, Akio Nakata, Teruo Higashino A real time budgeting method for module-level-pipelined bus based system using bus scenarios. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF bus based systems, cycle budgeting, real-time systems, pipelined processing, multimedia processing
39Shi-Zheng Eric Lin, Chieh Changfan, Yu-Chin Hsu, Fur-Shing Tsai Optimal time borrowing analysis and timing budgeting optimization for latch-based designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF latch-based design, time borrowing, timing budgeting, static timing analysis, Cycle stealing
39Dhritiman Banerjee, Biswanath Mukherjee Wavelength-routed optical networks: linear formulation, resource budgeting tradeoffs, and a reconfiguration study. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF resource budgeting, optimization, reconfigurability, optical network, integer linear program, WDM, lightpath, wavelength routing, virtual topology
35Beth Rugg, Lisa Efing A centralized approach to managing a large student staff. Search on Bibsonomy SIGUCCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF student employment, scheduling, training, management, centralization, recruitment, supervision, budgeting
35Elizabeth A. Kemp, Damian Pacitto, Elisabeth G. Todd, David I. Gray The role of functional prototyping in model validation. Search on Bibsonomy ISCNZ The full citation details ... 1996 DBLP  DOI  BibTeX  RDF functional prototyping, KADS framework, object-oriented knowledge representation model, summer-autumn management, feed budgeting, production level analysis, knowledge acquisition, problem solving, model validation, design decisions, system implementation, domain expertise
34David Valle-Cruz, Vanessa Fernandez-Cortez, J. Ramón Gil-García From E-budgeting to smart budgeting: Exploring the potential of artificial intelligence in government decision-making for resource allocation. Search on Bibsonomy Gov. Inf. Q. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
34Robert Zepic, Marcus M. Dapp, Helmut Krcmar Participatory Budgeting without Participants: Identifying Barriers on Accessibility and Usage of German Participatory Budgeting. Search on Bibsonomy CeDEM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
34Garry Lohan A Brief History of Budgeting: Reflections on Beyond Budgeting, Its Link to Performance Management and Its Appropriateness for Software Development. Search on Bibsonomy LESS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
34Wipawee Uppatumwichian The relationship between ERP systems and budgeting: Uncovering the limited ERP system impact on budgeting. Search on Bibsonomy AMCIS The full citation details ... 2012 DBLP  BibTeX  RDF
31Shahin Golshan, Eli Bozorgzadeh, Benjamin Carrión Schäfer, Kazutoshi Wakabayashi, Houman Homayoun, Alexander V. Veidenbaum Exploiting power budgeting in thermal-aware dynamic placement for reconfigurable systems. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF computer aided design, placement, dynamic reconfiguration, temperature, reconfigurable systems
31Ehsan Pakbaznia, Massoud Pedram Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Wei Jiang, Zhiru Zhang, Miodrag Potkonjak, Jason Cong Scheduling with integer time budgeting for low-power optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Susan Coleman Morse, Augusto Kitover Lobo Alves The NestEgg: a budgeting tool. Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2008 DBLP  DOI  BibTeX  RDF financial management, spending, budget, homelessness
31Hang Li, Jeffrey Fan, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Lawrence A. Gordon, Martin P. Loeb Budgeting process for information security expenditures. Search on Bibsonomy Commun. ACM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Soheil Ghiasi, Po-Kuan Huang Probabilistic Delay Budgeting for Soft Realtime Applications. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Soheil Ghiasi Efficient Implementation Selection via Time Budgeting Complexity Analysis and Leakage Optimization Case Study. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Jinwu Gao, Jianhua Zhao, Xiaoyu Ji Fuzzy Chance-Constrained Programming for Capital Budgeting Problem with Fuzzy Decisions. Search on Bibsonomy FSKD (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Hang Li, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong Partitioning-based approach to fast on-chip decap budgeting and minimization. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF on-chi, power/grid networks, simulation, optimization, IR drop, decoupling capacitor
31Vishal Khandelwal, Azadeh Davoodi, Ankur Srivastava 0001 Efficient statistical timing analysis through error budgeting. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Kai Wang 0011, Malgorzata Marek-Sadowska Potential Slack Budgeting with Clock Skew Optimization. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Mario Straßberger Continuous Market Risk Budgeting in Financial Institutions. Search on Bibsonomy GfKl The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Josef Makolm Process Reengineering on Base of Law . The New Austrian States Budgeting and Bookkeeping System. Search on Bibsonomy EGOV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Andrew C. Ling, Jianwen Zhu, Stephen Dean Brown Delay driven AIG restructuring using slack budget management. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF aig, budget management, logic synthesis, network flow
27Joseph C. Thomas, Steven W. Baker Establishing an Agile Portfolio to Align IT Investments with Business Needs. Search on Bibsonomy AGILE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Soheil Ghiasi, Po-Kuan Huang, Roozbeh Jafari Probabilistic delay budget assignment for synthesis of soft real-time applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ankur Srivastava 0001, Seda Ogrenci Memik, Bo-Kyung Choi, Majid Sarrafzadeh On effective slack management in postscheduling phase. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Jan vom Brocke, Maik A. Lindner Service portfolio measurement: a framework for evaluating the financial consequences of out-tasking decisions. Search on Bibsonomy ICSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IT-controlling, portfolio measurement, service-oriented business applications, service-oriented architectures, return on investment, portfolio management, total cost of ownership
27Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh Timing-driven placement using design hierarchy guided constraint generation. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Neeraj Kaul Design planning trends and challenges. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF clock planning, feedthrough generation, macro placement, power domains, power planning, time budgeting, voltage areas, prototyping, partitioning, floorplanning, feasibility, hierarchical design, constraints generation, pin assignment
22Scott Chamberlain Winning all around, providing a help desk service point at the learning commons. Search on Bibsonomy SIGUCCS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF scheduling, management, help desk, customer service, supervision, budgeting
22David Ríos Insua, Gregory E. Kersten, Jesus Rios, Carlos Grima Towards decision support for participatory democracy. Search on Bibsonomy Inf. Syst. E Bus. Manag. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Negotiation analysis, Participatory budgeting, Participatory democracy, Decision support, Electronic democracy
22Love Singhal, Sejong Oh, Eli Bozorgzadeh Yield maximization for system-level task assignment and configuration selection of configurable multiprocessors. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF configuration selection, delay budgeting, process variation, task allocation, within-die variation, timing yield
22Yao-Wen Hsu, Bart M. Lambrecht Preemptive patenting under uncertainty and asymmetric information. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Capital budgeting, Asymmetric information, Market entry, Learning, Preemption, Real options
22Ming-Cheng Wu, Simon H. Yen, Kuo-Ren Lou Pricing real abandonment options on several R&D investment projects. Search on Bibsonomy Soft Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Real abandonment options, Managerial flexibility, Capital budgeting, Research and development
22Soheil Ghiasi, Elaheh Bozorgzadeh, Karlene Nguyen, Majid Sarrafzadeh Efficient Timing Budget Management for Accuracy Improvement in a Collaborative Object Tracking System. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF accuracy-latency tradeoff, delay budgeting, object tracking, collaborative applications
22Mat Felthousen Combining audio/visual and computing support. Search on Bibsonomy SIGUCCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AV equipment, design, reliability, standardization, computer labs, budgeting
17Victoria Palacin, Samantha McDonald, Pablo Aragón, Matti Nelimarkka Configurations of Digital Participatory Budgeting. Search on Bibsonomy ACM Trans. Comput. Hum. Interact. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Lodewijk Gelauff, Ashish Goel Rank, Pack, or Approve: Voting Methods in Participatory Budgeting. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Martin Durand, Fanny Pascual Detecting and taking Project Interactions into account in Participatory Budgeting. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Majid Beikverdi, Nasim Ghanbar Tehrani, Kamran Shahanaghi A Bi-level model for district-fairness participatory budgeting: Decomposition methods and application. Search on Bibsonomy Eur. J. Oper. Res. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Haris Aziz 0001, Xinhang Lu, Mashbat Suzuki, Jeremy Vollen, Toby Walsh Fair Lotteries for Participatory Budgeting. Search on Bibsonomy AAAI The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Chien-Yu Lu, Bo-Jr Huang, Min-Chieh Chen, Ollie Tsai, Alfred Tsai, Eric Jia-Wei Fang, Yuju Cho, Harry H. Chen, Ping Kao, Ericbill Wang, Hugh Mair, Shih-Arn Hwang 14.4 A Fully Digital Current Sensor Offering Per-Core Runtime Power for System Budgeting in a 4nm-Plus Octa-Core CPU. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Magdalena Roszczynska-Kurasinska, Agnieszka Rychwalska, Nina Wróblewska The problem of low participation in participatory budgeting from the perspective of adoption of innovation. Search on Bibsonomy HICSS The full citation details ... 2024 DBLP  BibTeX  RDF
17Gogulapati Sreedurga Hybrid Participatory Budgeting: Divisible, Indivisible, and Beyond. Search on Bibsonomy AAMAS The full citation details ... 2024 DBLP  BibTeX  RDF
17Marc Serramia, Maite López-Sánchez, Juan A. Rodríguez-Aguilar, Stefano Moretti 0001 Value Alignment in Participatory Budgeting. Search on Bibsonomy AAMAS The full citation details ... 2024 DBLP  BibTeX  RDF
17Piotr Faliszewski, Lukasz Janeczko, Andrzej Kaczmarczyk 0001, Grzegorz Lisowski, Piotr Skowron 0001, Stanislaw Szufa Strategic Cost Selection in Participatory Budgeting. Search on Bibsonomy AAMAS The full citation details ... 2024 DBLP  BibTeX  RDF
17Yilin Ma, Yudong Wang, Weizhong Wang, Chong Zhang Prediction-based mean-variance portfolios with risk budgeting based on neural networks. Search on Bibsonomy Expert Syst. Appl. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Zachariah Sutton, Peter Willett 0001, Stefano Maranò 0001, Yaakov Bar-Shalom Identity-Aware Decision Network Communication Budgeting: Is Who as Important as What? Search on Bibsonomy IEEE Trans. Aerosp. Electron. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Xin Li 0042, Zhi Li, Yaqi Ju, Xiaofei Zhang, Rongyao Wang, Wei Zhou 0020 COP: A Combinational Optimization Power Budgeting Method for Manycore Systems in Dark Silicon. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Avinash Bhardwaj, Manjesh K. Hanawal, Purushottam Parthasarathy Almost exact risk budgeting with return forecasts for portfolio allocation. Search on Bibsonomy Oper. Res. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Srijoni Majumdar, Evangelos Pournaras Consensus-based Participatory Budgeting for Legitimacy: Decision Support via Multi-agent Reinforcement Learning. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Gogulapati Sreedurga Participatory Budgeting With Multiple Degrees of Projects And Ranged Approval Votes. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Simon Rey, Jan Maly 0001 The (Computational) Social Choice Take on Indivisible Participatory Budgeting. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Niclas Boehmer, Piotr Faliszewski, Lukasz Janeczko, Andrzej Kaczmarczyk 0001 Robustness of Participatory Budgeting Outcomes: Complexity and Experiments. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Sonja Kraiczy, Edith Elkind An Adaptive and Verifiably Proportional Method for Participatory Budgeting. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mohak Goyal, Sahasrajit Sarmasarkar, Ashish Goel A Mechanism for Participatory Budgeting With Funding Constraints and Project Interactions. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Roy Fairstein, Gerdus Benadè, Kobi Gal Participatory Budgeting Design for the Real World. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yao Liu 0009, Pratik Chaudhari, Rasool Fakoor Budgeting Counterfactual for Offline RL. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Joshua Chu-Yue Yang, Carina Ines Hausladen, Dominik Peters, Evangelos Pournaras, Regula Hänggli Fricker, Dirk Helbing Designing Digital Voting Systems for Citizens: Achieving Fairness and Legitimacy in Digital Participatory Budgeting. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jonathan Wagner, Reshef Meir Strategy-proof Budgeting via a VCG-like Mechanism. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Piotr Faliszewski, Jaroslaw Flis, Dominik Peters, Grzegorz Pierczynski, Piotr Skowron 0001, Dariusz Stolicki, Stanislaw Szufa, Nimrod Talmon Participatory Budgeting: Data, Tools, and Analysis. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Niclas Boehmer, Piotr Faliszewski, Lukasz Janeczko, Dominik Peters, Grzegorz Pierczynski, Simon Schierreich, Piotr Skowron 0001, Stanislaw Szufa Evaluation of Project Performance in Participatory Budgeting. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Simon Rey, Ulle Endriss Epistemic Selection of Costly Alternatives: The Case of Participatory Budgeting. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mohak Goyal, Sukolsak Sakshuwong, Sahasrajit Sarmasarkar, Ashish Goel Low Sample Complexity Participatory Budgeting. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 539 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license