Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
93 | Guohong Cao, Mukesh Singhal |
Mutable Checkpoints: A New Checkpointing Approach for Mobile Computing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 12(2), pp. 157-172, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Mobile computing, nonblocking, causal dependency, coordinated checkpointing |
93 | D. Manivannan 0001, Robert H. B. Netzer, Mukesh Singhal |
Finding Consistent Global Checkpoints in a Distributed Computation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 8(6), pp. 623-627, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
fault tolerance, Causality, failure recovery, consistent global states, distributed checkpointing |
75 | Eric Koskinen, Maurice Herlihy |
Checkpoints and continuations instead of nested transactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2008: Proceedings of the 20th Annual ACM Symposium on Parallelism in Algorithms and Architectures, Munich, Germany, June 14-16, 2008, pp. 160-168, 2008, ACM, 978-1-59593-973-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallel programming, concurrency, checkpoints, transactional memory, boosting, continuations |
73 | D. Manivannan 0001, Mukesh Singhal |
Quasi-Synchronous Checkpointing: Models, Characterization, and Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 10(7), pp. 703-713, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
consistent global checkpoint, zigzag paths, fault tolerance, Causality, failure recovery, distributed checkpointing |
66 | Nitin H. Vaidya |
Staggered Consistent Checkpointing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 10(7), pp. 694-702, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Staggered checkpoints, consistent recovery line, stable storage contention, fault tolerance, rollback recovery |
66 | Guohong Cao, Mukesh Singhal |
On Coordinated Checkpointing in Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 9(12), pp. 1213-1225, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
consistent checkpoints, Distributed system, nonblocking, causal dependence, coordinated checkpointing |
63 | Sarmistha Neogy, Anupam Sinha, Pradip Kumar Das |
Distributed Checkpointing Using Synchronized Clocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: 26th International Computer Software and Applications Conference (COMPSAC 2002), Prolonging Software Life: Development and Redevelopment, 26-29 August 2002, Oxford, England, Proceedings, pp. 199-206, 2002, IEEE Computer Society, 0-7695-1727-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
63 | Sarmistha Neogy, Anupam Sinha, Pradip Kumar Das |
Checkpoint Processing in Distributed Systems Software Using Synchronized Clocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITCC ![In: 2001 International Symposium on Information Technology (ITCC 2001), 2-4 April 2001, Las Vegas, NV, USA, pp. 555-559, 2001, IEEE Computer Society, 0-7695-1062-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
62 | Frank Salger |
On the Use of Handover Checkpoints to Manage the Global Software Development Process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OTM Workshops ![In: On the Move to Meaningful Internet Systems: OTM 2009 Workshops, Confederated International Workshops and Posters, ADI, CAMS, EI2N, ISDE, IWSSA, MONET, OnToContent, ODIS, ORM, OTM Academy, SWWS, SEMELS, Beyond SAWSDL, and COMBEK 2009, Vilamoura, Portugal, November 1-6, 2009. Proceedings, pp. 267-276, 2009, Springer, 978-3-642-05289-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
62 | Panfeng Wang, Zhiyuan Wang, Yunfei Du, Xuejun Yang, Haifang Zhou |
Optimal Placement of Application-Level Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: 10th IEEE International Conference on High Performance Computing and Communications, HPCC 2008, 25-27 Sept. 2008, Dalian, China, pp. 853-858, 2008, IEEE Computer Society, 978-0-7695-3352-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
62 | Patrício Domingues, Filipe Araújo, Luís Moura Silva |
A DHT-Based Infrastructure for Sharing Checkpoints in Desktop Grid Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
e-Science ![In: Second International Conference on e-Science and Grid Technologies (e-Science 2006), 4-6 December 2006, Amsterdam, The Netherlands, pp. 76, 2006, IEEE Computer Society, 0-7695-2734-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
62 | Islene C. Garcia, Luiz Eduardo Buzato |
Progressive Construction of Consistent Global Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 19th International Conference on Distributed Computing Systems, Austin, TX, USA, May 31 - June 4, 1999, pp. 55-62, 1999, IEEE Computer Society, 0-7695-0222-9. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
zigzag paths, causality, monitoring systems, consistent global states, distributed checkpointing |
62 | Zbigniew M. Wójcik, Barbara E. Wójcik |
Fault tolerant distributed computing using atomic send-receive checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPDP ![In: Proceedings of the Second IEEE Symposium on Parallel and Distributed Processing, SPDP 1990, Dallas, Texas, USA, December 9-13, 1990., pp. 215-222, 1990, IEEE Computer Society, 0-8186-2087-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
52 | Jinjun Chen, Yun Yang 0001 |
A Minimum Proportional Time Redundancy based Checkpoint Selection Strategy for Dynamic Verification of Fixed-time Constraints in Grid Workflow Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APSEC ![In: 12th Asia-Pacific Software Engineering Conference (APSEC 2005), 15-17 December 2005, Taipei, Taiwan, pp. 299-306, 2005, IEEE Computer Society, 0-7695-2465-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
52 | Luca Allulli, Roberto Baldoni, Luigi Laura, Sara Tucci Piergiovanni |
On the Complexity of Removing Z-Cycles from a Checkpoints and Communication Pattern. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(6), pp. 853-858, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Z-cycles, progressive retry, online versus offline analysis, Distributed computing, checkpointing, competitive analysis, NP-complete problem |
52 | Jinjun Chen, Yun Yang 0001 |
Adaptive selection of necessary and sufficient checkpoints for dynamic verification of temporal constraints in grid workflow systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Auton. Adapt. Syst. ![In: ACM Trans. Auton. Adapt. Syst. 2(2), pp. 6, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
adaptive checkpoint selection, temporal verification, temporal constraints, Grid workflows |
52 | Jinjun Chen, Yun Yang 0001 |
Selecting Necessary and Sufficient Checkpoints for Dynamic Verification of Fixed-Time Constraints in Grid Workflow Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Business Process Management ![In: Business Process Management, 4th International Conference, BPM 2006, Vienna, Austria, September 5-7, 2006, Proceedings, pp. 445-450, 2006, Springer, 3-540-38901-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
52 | Patrick Akl, Andreas Moshovos |
BranchTap: improving performance with very few checkpoints through adaptive speculation control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 20th Annual International Conference on Supercomputing, ICS 2006, Cairns, Queensland, Australia, June 28 - July 01, 2006, pp. 36-45, 2006, ACM, 1-59593-282-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
speculation control, state checkpointing, state recovery, branch misprediction |
52 | Patrício Domingues, João Gabriel Silva, Luís Moura Silva |
Sharing Checkpoints to Improve Turnaround Time in Desktop Grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA (1) ![In: 20th International Conference on Advanced Information Networking and Applications (AINA 2006), 18-20 April 2006, Vienna, Austria, pp. 301-306, 2006, IEEE Computer Society, 0-7695-2466-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
turnaround time, checkpoint, desktop grid, trace-based simulation |
52 | Chaoguang Men, XiaoZong Yang |
Using Computing Checkpoints Implement Consistent Low-Cost Non-blocking Coordinated Checkpointing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Parallel and Distributed Computing: Applications and Technologies, 5th International Conference, PDCAT 2004, Singapore, December 8-10, 2004, Proceedings, pp. 570-576, 2004, Springer, 3-540-24013-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
52 | Jean-Michel Hélary, Achour Mostéfaoui, Robert H. B. Netzer, Michel Raynal |
Communication-Based Prevention of Useless Checkpoints in Fistributed Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Comput. ![In: Distributed Comput. 13(1), pp. 29-43, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Checkpointing protocols, Fault-Tolerance, Asynchronous distributed system |
52 | Katsuya Tanaka, Makoto Takizawa 0001 |
Protocol for Taking Object-Based Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA ![In: Database and Expert Systems Applications, 11th International Conference, DEXA 2000, London, UK, September 4-8, 2000, Proceedings, pp. 115-124, 2000, Springer, 3-540-67978-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
52 | Jean-Michel Hélary, Robert H. B. Netzer, Michel Raynal |
Consistency Issues in Distributed Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 25(2), pp. 274-281, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
transitlessness, fault-tolerance, distributed systems, consistency, Checkpointing, rollback recovery, strong consistency |
52 | Katsuya Tanaka, Hiroaki Higaki, Makoto Takizawa 0001 |
Checkpoints in Distributed Object-Based Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: Proceedings of the 1999 International Conference on Parallel Processing Workshops, ICPPW 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 582-587, 1999, IEEE Computer Society, 0-7695-0353-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
52 | Loon-Been Chen, I-Chen Wu |
An Efficient Incremental Algorithm for Identifying Consistent Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: International Conference on Parallel and Distributed Systems, ICPADS '98, Tainan, Taiwan, December 14-16, 1998, pp. 122-129, 1998, IEEE Computer Society, 0-8186-8603-0. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
52 | Guohong Cao, Mukesh Singhal |
Low-Cost Checkpointing with Mutable Checkpoints in Mobile Computing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 18th International Conference on Distributed Computing Systems, Amsterdam, The Netherlands, May 26-29, 1998, pp. 464-471, 1998, IEEE Computer Society, 0-8186-8292-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
consistent checkpointing, Mobile computing, non-blocking, causal dependency |
52 | Roberto Baldoni, Jerzy Brzezinski, Jean-Michel Hélary, Achour Mostéfaoui, Michel Raynal |
Characterization of Consistent Global Checkpoints in Large-Scale Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTDCS ![In: 5th IEEE Workshop on Future Trends of Distributed Computing Systems (FTDCS 1995), August 28-30, 1995, Chenju, Korea, Proceedings, pp. 314-323, 1995, IEEE Computer Society, 0-8186-7125-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
45 | Igor Lyubashevskiy, Volker Strumpen |
Fault-Tolerant File-I/O for Portable Checkpointing Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 16(1-2), pp. 69-92, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
portable checkpoints, transactional file operations, fault tolerance, automatic code generation |
45 | Slawomir Pilarski, Tiko Kameda |
Checkpointing for Distributed Databases: Starting from the Basics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 3(5), pp. 602-610, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
consistent snapshots, transaction-consistent checkpoints, distributed databases, distributed databases, concurrency control, checkpointing, database theory, timestamping, two-phase locking, concurrency control protocols |
44 | Nicholas S. Bowen, Dhiraj K. Pradhan |
Virtual Checkpoints: Architecture and Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 41(5), pp. 516-525, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
virtual checkpoints, virtual memory translation hardware, performance evaluation, performance analysis, fault tolerant computing, trace-driven simulation, rollback recovery, failure tolerance, address space |
42 | Pornpat Sirithumgul, Atiwong Suchato, Proadpran Punyabukkana |
Quantitative evaluation for web accessibility with respect to disabled groups. ![Search on Bibsonomy](Pics/bibsonomy.png) |
W4A ![In: Proceedings of the International Cross-Disciplinary Conference on Web Accessibility, W4A 2009, Madrid, Spain, April 20-21, 2009, pp. 136-141, 2009, ACM, 978-1-60558-561-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
web accessibility, disabled, evaluation criteria |
42 | Yui-Liang Chen, Yen-Yu Chen, Monica Shao |
2005 accessibility diagnosis on the government web sites in Taiwan, R.O.C. ![Search on Bibsonomy](Pics/bibsonomy.png) |
W4A ![In: Proceedings of the 2006 International Cross-Disciplinary Workshop on Web Accessibility (W4A 2006): Building the mobile web: rediscovering accessibility? Edinburgh, UK, May 22, 2006, pp. 132-142, 2006, ACM, 1-59593-281-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
accessible checkpoint, accessible examination, accessible examining system, accessible website, accessibility |
42 | Chaoguang Men, Dongsheng Wang 0002, Yunlong Zhao |
An Efficient Computing-Checkpoint Based Coordinated Checkpoint Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2006, Seoul, Korea, August 1-4, 2006, Proceedings, pp. 99-109, 2006, Springer, 3-540-36679-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
42 | Tiemi C. Sakata, Islene C. Garcia |
Non-Blocking Synchronous Checkpointing Based on Rollback-Dependency Trackability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SRDS ![In: 25th IEEE Symposium on Reliable Distributed Systems (SRDS 2006),2-4 October 2006, Leeds, UK, pp. 411-420, 2006, IEEE Computer Society, 0-7695-2677-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
42 | Yuan-sheng Luo, Dafang Zhang, Yinghua Min |
An Improved Scheme of Index-Based Checkpointing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 11th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2005), 12-14 December, 2005, Changsha, Hunan, China, pp. 167-174, 2005, IEEE Computer Society, 0-7695-2492-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Domino-effect, Active-synchronous, Distributed systems, Index, Checkpoint |
42 | Rami G. Melhem, Daniel Mossé, E. N. Elnozahy |
The Interplay of Power Management and Fault Recovery in Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(2), pp. 217-231, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
fault tolerance, real-time systems, reliability, power management, Checkpointing, voltage scaling, frequency scaling |
42 | Peter Sobe |
Stable Checkpointing in Distributed Systems without Shared Disks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 214, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
42 | Shinji Osada, Hiroaki Higaki |
QoS-Based Checkpoint Protocol for Multimedia Network Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Pacific Rim Conference on Multimedia ![In: Advances in Multimedia Information Processing - PCM 2001, Second IEEE Pacific Rim Conference on Multimedia, Bejing, China, October 24-26, 2001, Proceedings, pp. 574-581, 2001, Springer, 3-540-42680-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
42 | Katsuya Tanaka, Makoto Takizawa 0001 |
Asynchronous Checkpointing Protocol for Object-Based Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: 3rd International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2000), 15-17 March 2000, Newport Beach, CA, USA, pp. 218-225, 2000, IEEE Computer Society, 0-7695-0607-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Distributed Object-based System, Fault-Tolerant, Group communication, Rollback Recovery, Asynchronous protocol |
42 | Yi-Min Wang, Pi-Yu Chung, In-Jen Lin, W. Kent Fuchs |
Checkpoint Space Reclamation for Uncoordinated Checkpointing in Message-Passing Systems.. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 6(5), pp. 546-554, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
41 | Raul Barbosa, Johan Karlsson |
On the Integrity of Lightweight Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: 11th IEEE High Assurance Systems Engineering Symposium, HASE 2008, Nanjing, China, December 3 - 5, 2008, pp. 125-134, 2008, IEEE Computer Society, 978-0-7695-3482-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
41 | Andrew Maloney, Andrzej Goscinski |
The Cost of Storing Checkpoints to Multiple Volatile Storage Locations Using at-least-k Semantics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 13th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2007), 17-19 December, 2007, Melbourne, Victoria, Australia, pp. 330-333, 2007, IEEE Computer Society, 0-7695-3054-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
41 | Soyeon Park, Seung Ryoul Maeng |
Log-Based Rollback Recovery without Checkpoints of Shared Memory in Software DSM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 35(2), pp. 141-154, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
fault tolerance, cluster computing, checkpointing, logging, rollback recovery, software distributed shared memory |
41 | Gildas Avoine, Pascal Junod, Philippe Oechslin |
Time-Memory Trade-Offs: False Alarm Detection Using Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INDOCRYPT ![In: Progress in Cryptology - INDOCRYPT 2005, 6th International Conference on Cryptology in India, Bangalore, India, December 10-12, 2005, Proceedings, pp. 183-196, 2005, Springer, 3-540-30805-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
cryptanalysis, precomputation, Time-memory trade-off |
41 | Edmilson Marmo Moreira, Regina Helena Carlucci Santana, Marcos José Santana |
Using Consistent Global Checkpoints to Synchronize Processes in Distributed Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DS-RT ![In: 9th IEEE International Symposium on Distributed Simulation and Real-Time Applications (DS-RT 2005), 10-12 October 2005, Montreal, Canada, pp. 43-50, 2005, IEEE Computer Society, 0-7695-2462-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
41 | Francesco Quaglia, Andrea Santoro |
CCL v3.0: Multiprogrammed Semi-Asynchronous Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADS ![In: Proceedings of the 17th Workshop on Parallel and Distributed Simulation, PADS 2003, June 10-13, 2003, San Diego, CA, USA, pp. 21-30, 2003, IEEE Computer Society, 0-7695-1970-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
41 | Ana Azevedo, Ilya Issenin, Radu Cornea, Rajesh Gupta 0001, Nikil D. Dutt, Alexander V. Veidenbaum, Alexandru Nicolau |
Profile-Based Dynamic Voltage Scheduling Using Program Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 168-175, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
41 | Ryo Suzuki, Satoshi Fukumoto, Kazuhiko Iwasaki |
Adaptive Checkpointing for Time Warp Technique with a Limited Number of Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS Workshops ![In: 22nd International Conference on Distributed Computing Systems, Workshops (ICDCSW '02) July 2-5, 2002, Vienna, Austria, Proceedings, pp. 95-100, 2002, IEEE Computer Society, 0-7695-1588-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
41 | A.-K. Luah, Wee Keong Ng, Ee-Peng Lim, W.-P. Lee, Yinyan Cao |
Locating Web Information Using Web Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA Workshops ![In: 10th International Workshop on Database & Expert Systems Applications, Florence, Italy, September 1-3, 1999, Proceedings., pp. 716-720, 1999, IEEE Computer Society, 0-7695-0281-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
41 | Jwu E. Chen, Chung-Len Lee 0001, Wen-Zen Shen |
Checkpoints in irredundant two-level combinational circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 2(4), pp. 395-397, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
34 | Marie-Elena C. Kidd |
Ensuring critical event sequences in high consequence computer based systems as inspired by path expressions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECBS ![In: 1997 Workshop on Engineering of Computer-Based Systems (ECBS '97), March 24-28, 1997, Monterey, CA, USA, pp. 483-490, 1997, IEEE Computer Society, 0-8186-7889-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
critical event sequences, unstable operating environments, fault managemen, high consequence software, embedding checkpoints, update points, finite automata, path expressions, computer based systems, hardware failures, critical software |
31 | Nichamon Naksinehaboon, Yudan Liu, Chokchai Leangsuksun, Raja Nassar, Mihaela Paun, Stephen L. Scott |
Reliability-Aware Approach: An Incremental Checkpoint/Restart Model in HPC Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: 8th IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2008), 19-22 May 2008, Lyon, France, pp. 783-788, 2008, IEEE Computer Society, 978-0-7695-3156-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Tan Zhihua |
The Application of GPS/GIS Navigation and Positioning System in Cross-Country Orienteering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSSE (5) ![In: International Conference on Computer Science and Software Engineering, CSSE 2008, Volume 5: E-learning and Knowledge Management / Socially Informed and Instructinal Design / Learning Systems Platforms and Architectures / Modeling and Representation / Other Applications , December 12-14, 2008, Wuhan, China, pp. 582-584, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Cristiano Pereira, Harish Patil, Brad Calder |
Reproducible simulation of multi-threaded workloads for architecture design exploration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IISWC ![In: 4th International Symposium on Workload Characterization (IISWC 2008), Seattle, Washington, USA, September 14-16, 2008, pp. 173-182, 2008, IEEE Computer Society, 978-1-4244-2778-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Jinjun Chen, Yun Yang 0001 |
Temporal dependency based checkpoint selection for dynamic verification of fixed-time constraints in grid workflow systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 30th International Conference on Software Engineering (ICSE 2008), Leipzig, Germany, May 10-18, 2008, pp. 141-150, 2008, ACM, 978-1-60558-079-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
checkpoint selection, fixed-time constraints, grid workflows, temporal dependency |
31 | Qi Gao 0004, Wei Huang 0003, Matthew J. Koop, Dhabaleswar K. Panda 0001 |
Group-based Coordinated Checkpointing for MPI: A Case Study on InfiniBand. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 2007 International Conference on Parallel Processing (ICPP 2007), September 10-14, 2007, Xi-An, China, pp. 47, 2007, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | JinHo Ahn |
Checkpointing and Communication Pattern-Neutral Algorithm for Removing Messages Logged by Senders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, Second International Conference, HPCC 2006, Munich, Germany, September 13-15, 2006, Proceedings, pp. 71-80, 2006, Springer, 3-540-39368-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
fault-tolerance, garbage collection, checkpointing, message logging, message-passing system |
31 | Nayef Abu-Ghazaleh, Michael J. Lewis |
Lightweight Checkpointing for Faster SOAP Deserialization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWS ![In: 2006 IEEE International Conference on Web Services (ICWS 2006), 18-22 September 2006, Chicago, Illinois, USA, pp. 11-18, 2006, IEEE Computer Society, 0-7695-2669-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Yang Xiang 0001, Zhongwen Li, Hong Chen |
Optimizing Adaptive Checkpointing Schemes for Grid Workflow Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GCC Workshops ![In: Grid and Cooperative Computing Workshops - GCC 2006, 5th International Conference, Changsha, Hunan, China, 21-23 October 2006, Proceedings, pp. 181-188, 2006, IEEE Computer Society, 0-7695-2695-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
31 | Zhongwen Li, Yang Xiang 0001, Hong Chen |
Performance Optimization of Checkpointing Schemes with Task Duplication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IMSCCS (2) ![In: Interdisciplinary and Multidisciplinary Research in Computer Science, IEEE CS Proceeding of the First International Multi-Symposium of Computer and Computational Sciences (IMSCCS|06), June 20-24, 2006, Zhejiang University, Hangzhou, China, Vol. 2, pp. 671-677, 2006, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Checkpointing intervals, Fault-tolerant computing, Performance optimization, Task Duplication |
31 | John Field, Carlos A. Varela |
Transactors: a programming model for maintaining globally consistent distributed state in unreliable environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Proceedings of the 32nd ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, POPL 2005, Long Beach, California, USA, January 12-14, 2005, pp. 195-208, 2005, ACM, 1-58113-830-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
distributed state, tau-calculus, transactor, actor |
31 | Elena Dubrova |
Linear-time algorithm for computing minimum checkpoint sets for simulation-based verification of HDL programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 2212-2215, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | L. Kumar, Muldip Mishra, Ramesh C. Joshi |
Low Overhead Optimal Checkpointing for Mobile Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 19th International Conference on Data Engineering, March 5-8, 2003, Bangalore, India, pp. 686-688, 2003, IEEE Computer Society, 0-7803-7665-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Florin Sultan, Thu D. Nguyen, Liviu Iftode |
Lazy Garbage Collection of Recovery State for Fault-Tolerant Distributed Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(10), pp. 1085-1098, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
log-based rollback recovery, Fault tolerance, garbage collection, checkpointing, distributed shared memory |
31 | Florin Sultan, Thu D. Nguyen, Liviu Iftode |
Lazy Garbage Collection of Recovery State for Fault-Tolerant Distributed Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 13(7), pp. 673-686, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
log-based rollback recovery, Fault tolerance, garbage collection, checkpointing, distributed shared memory |
31 | Daniel J. Sorin, Milo M. K. Martin, Mark D. Hill, David A. Wood 0001 |
SafetyNet: Improving the Availability of Shared Memory Multiprocessors with Global Checkpoint/Recovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 29th International Symposium on Computer Architecture (ISCA 2002), 25-29 May 2002, Anchorage, AK, USA, pp. 123-134, 2002, IEEE Computer Society, 0-7695-1605-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
multiprocessor, availability, shared memory |
31 | Yoshinori Morita, Hiroaki Higaki |
Hybrid Checkpoint Protocol for Supporting Mobile-to-Mobile Communication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: The 15th International Conference on Information Networking, ICOIN 2001, Beppu City, Oita, Japan, January 31 - February 2, 2001, pp. 529-536, 2001, IEEE Computer Society, 0-7695-0951-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Katsuya Tanaka, Makoto Takizawa 0001 |
Checkpointing Protocol for Object-Based Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: Seventh International Conference on Parallel and Distributed Systems, ICPADS 2000, Iwate, Japan, July 4-7, 2000, pp. 137-144, 2000, IEEE Computer Society, 0-7695-0568-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
communication-induced protocol, fault-tolerant, checkpoint, rollback recovery, object-based system |
31 | Roberto Baldoni, Francesco Quaglia, Paolo Fornara |
An Index-Based Checkpointing Algorithm for Autonomous Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 10(2), pp. 181-192, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
timestamp management, global snapshot, performance evaluation, fault tolerance, distributed systems, protocols, Checkpointing, rollback-recovery, causal dependency |
31 | Roberto Baldoni, Francesco Quaglia, Michel Raynal |
Distributed Database Checkpointing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '99 Parallel Processing, 5th International Euro-Par Conference, Toulouse, France, August 31 - September 3, 1999, Proceedings, pp. 450-458, 1999, Springer, 3-540-66443-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Jichiang Tsai 0001, Sy-Yen Kuo, Yi-Min Wang |
Theoretical Analysis for Communication-Induced Checkpointing Protocols with Rollback-Dependency Trackability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 9(10), pp. 963-971, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Rollback-dependency trackability, communication-induced protocols, checkpointing, on-line algorithms, rollback recovery |
31 | Zhonghua Yang, Chengzheng Sun, Abdul Sattar 0001, Yanyan Yang |
Guaranteed Mutually Consistent Checkpointing in Distributed Computations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASIAN ![In: Advances in Computing Science - ASIAN '98, 4th Asian Computing Science Conference, Manila, The Philippines, December 8-10, 1998, Proceedings, pp. 157-168, 1998, Springer, 3-540-65388-0. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
31 | John L. Bruno, Edward G. Coffman Jr. |
Optimal Fault-Tolerant Computing on Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Acta Informatica ![In: Acta Informatica 34(12), pp. 881-904, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
31 | Jiannong Cao 0001, Weijia Jia 0001, Xiaohua Jia, To-Yat Cheung |
Design and Analysis of An Efficient Algorithm for Coordinated Checkpointing in Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APDC ![In: Proceedings of the 1997 Advances in Parallel and Distributed Computing Conference (APDC '97), March 19-21, 1997, Shanghai, China, pp. 261-269, 1997, IEEE Computer Society, 0-8186-7876-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
31 | Weidong Shi, Yang Lu |
Video indexed VM continuous checkpoints: time travel support for virtual 3d graphics applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOSSDAV ![In: Network and Operating System Support for Digital Audio and Video, 20th International Workshop, NOSSDAV 2010, Amsterdam, The Netherlands, June 2-4, 2010, Proceedings, pp. 45-50, 2010, ACM, 978-1-4503-0043-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
continuous checkpoint, virtualization, video, time travel |
31 | Kiranmai Bellam, Raghava K. Vudata, Xiao Qin 0001, Ziliang Zong, Xiaojun Ruan, Mais Nijim |
Interplay of Security and Reliability using Non-uniform Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCCN ![In: Proceedings of the 16th International Conference on Computer Communications and Networks, IEEE ICCCN 2007, Turtle Bay Resort, Honolulu, Hawaii, USA, August 13-16, 2007, pp. 663-668, 2007, IEEE, 978-1-4244-1251-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Clairton Buligon, Sérgio Luis Cechin, Ingrid Jansch-Pôrto |
Implementing Rollback-Recovery Coordinated Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSADS ![In: Advanced Distributed Systems: 5th International School and Symposium, ISSADS 2005, Guadalajara, Mexico, January 24-28, 2005, Revised Selected Papers, pp. 246-257, 2005, Springer, 3-540-28063-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
31 | Andrea Walther |
Bounding the Number of Processors and Checkpoints Needed in Time-minimal Parallel Reversal Schedules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computing ![In: Computing 73(2), pp. 135-154, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
parallel reversals, Checkpointing, discrete optimization |
31 | Masakazu Ono, Hiroaki Higaki |
Consistency of Global Checkpoints Based on Characteristics of Communication Events in Multimedia Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science ![In: Computational Science - ICCS 2004, 4th International Conference, Kraków, Poland, June 6-9, 2004, Proceedings, Part I, pp. 691-694, 2004, Springer, 3-540-22114-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Hazim Shafi, Evan Speight, John K. Bennett |
Raptor: Integrating Checkpoints and Thread Migration for Cluster Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SRDS ![In: 22nd Symposium on Reliable Distributed Systems (SRDS 2003), 6-8 October 2003, Florence, Italy, pp. 141-, 2003, IEEE Computer Society, 0-7695-1955-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
31 | Kengo Hiraga, Hiroaki Higaki |
Consistent Global Checkpoints in Multimedia Network Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: The 15th International Conference on Information Networking, ICOIN 2001, Beppu City, Oita, Japan, January 31 - February 2, 2001, pp. 271-278, 2001, IEEE Computer Society, 0-7695-0951-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Boyan Dimitrov, Zohel Khalil, Nikolay Kolev, Peter Petrov |
On the Optimal Total Processing Time Using Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 17(5), pp. 436-442, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
optimal total processing time, optimal checkpoint schedule, unreliable computing systems, multiclient computer service, scheduling, optimisation, programming theory, data transmissions |
31 | Herbert Kuss |
On Totally Ordering Checkpoints in Distributed Data Bases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1982 ACM SIGMOD International Conference on Management of Data, Orlando, Florida, USA, June 2-4, 1982., pp. 174, 293-302, 1982, ACM Press, 978-0-89791-073-6. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
|
24 | Amit Golander, Shlomo Weiss |
Hiding the misprediction penalty of a resource-efficient high-performance processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 4(4), pp. 6:1-6:32, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
misprediction, Checkpoints, out-of-order execution, scalable architecture, rollback |
24 | Predrag R. Jelenkovic, Jian Tan |
Dynamic packet fragmentation for wireless channels with failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiHoc ![In: Proceedings of the 9th ACM Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2008, Hong Kong, China, May 26-30, 2008, pp. 73-82, 2008, ACM, 978-1-60558-073-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
channel with failures, dynamic fragmentation, light-tailed distributions, packet fragmentation, wireless ad-hoc/sensor networks, checkpoints, power laws, heavy-tailed distributions, retransmissions, time varying channel |
24 | Yi Luo 0002, D. Manivannan 0001 |
FINE: A Fully Informed aNd Efficient Communication-Induced Checkpointing Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICONS ![In: The Third International Conference on Systems, ICONS 2008, April 13-18, 2008, Cancun, Mexico, pp. 16-22, 2008, IEEE Computer Society, 978-0-7695-3105-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
communication-induced checkpointing protocols, consistent global checkpoints, Distributed systems |
24 | Ahmed S. Al-Zawawi, Vimal K. Reddy, Eric Rotenberg, Haitham Akkary |
Transparent control independence (TCI). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 448-459, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
control independence, selective re-execution, selective recovery, checkpoints, branch prediction, speculation |
24 | Michael Van Biesbrouck, Brad Calder, Lieven Eeckhout |
Efficient Sampling Startup for SimPoint. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 26(4), pp. 32-42, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
warmup, SimPoint, simulation, sampling, computer architecture, checkpoints |
24 | Satish Narayanasamy, Cristiano Pereira, Harish Patil, Robert Cohn, Brad Calder |
Automatic logging of operating system effects to guide application-level architecture simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS/Performance ![In: Proceedings of the Joint International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS/Performance 2006, Saint Malo, France, June 26-30, 2006, pp. 216-227, 2006, ACM, 1-59593-319-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
emulating system calls, checkpoints, architecture simulation |
24 | Achour Mostéfaoui, Michel Raynal |
Efficient Message Logging for Uncoordinated Checkpointing Protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDCC ![In: Dependable Computing - EDCC-2, Second European Dependable Computing Conference, Taormina, Italy, October 2-4, 1996, Proceedings, pp. 353-364, 1996, Springer, 3-540-61772-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Backward Recovery, Consistent Global Checkpoints, Optimistic Sender-Based Logging, Distributed Systems |
21 | Giorgio Brajnik |
Validity and reliability of web accessibility guidelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASSETS ![In: Proceedings of the 11th International ACM SIGACCESS Conference on Computers and Accessibility, ASSETS 2009, Pittsburgh, Pennsylvania, USA, October 25-28, 2009, pp. 131-138, 2009, ACM, 978-1-60558-558-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
accessibility evaluation evaluation, web accessibility guidelines |
21 | Xiangyu Dong, Naveen Muralimanohar, Norman P. Jouppi, Richard Kaufmann, Yuan Xie 0001 |
Leveraging 3D PCRAM technologies to reduce checkpoint overhead for future exascale systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE Conference on High Performance Computing, SC 2009, November 14-20, 2009, Portland, Oregon, USA, 2009, ACM, 978-1-60558-744-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | André Luckow, Bettina Schnor |
Adaptive Checkpoint Replication for Supporting the Fault Tolerance of Applications in the Grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NCA ![In: Proceedings of The Seventh IEEE International Symposium on Networking Computing and Applications, NCA 2008, July 10-12, 2008, Cambridge, Massachusetts, USA, pp. 299-306, 2008, IEEE Computer Society, 978-0-7695-3192-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Grid Computing, Replication, Checkpointing |
21 | Qing Cao, Tarek F. Abdelzaher, John A. Stankovic, Kamin Whitehouse, Liqian Luo |
Declarative tracepoints: a programmable and application independent debugging system for wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SenSys ![In: Proceedings of the 6th International Conference on Embedded Networked Sensor Systems, SenSys 2008, Raleigh, NC, USA, November 5-7, 2008, pp. 85-98, 2008, ACM, 978-1-59593-990-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
declarative tracepoints, embedded debugging, wireless sensor networks |
21 | Kyuho Shim, Young-Rae Cho, Namdo Kim, Hyuncheol Baik, Kyungkuk Kim, Dusung Kim, Jaebum Kim, Byeongun Min, Kyumyung Choi, Maciej J. Ciesielski, Seiyang Yang |
A fast two-pass HDL simulation with on-demand dump. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 422-427, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | |
An Adaptive Index-Based Algorithm Using Time-Coordination in Mobile Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISIP ![In: International Symposium on Information Processing, ISIP 2008 / International Pacific Workshop on Web Mining, and Web-Based Application, WMWA 2008, Moscow, Russia, 23-25 May 2008, pp. 578-585, 2008, IEEE Computer Society, 978-0-7695-3151-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Patrick Akl, Andreas Moshovos |
Turbo-ROB: A Low Cost Checkpoint/Restore Accelerator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Third International Conference, HiPEAC 2008, Göteborg, Sweden, January 27-29, 2008, Proceedings, pp. 258-272, 2008, Springer, 978-3-540-77559-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Takayuki Watanabe, Masahiro Umegaki |
Capability survey of user agents with the UAAG 1.0 test suite and its impact on Web accessibility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Univers. Access Inf. Soc. ![In: Univers. Access Inf. Soc. 6(3), pp. 221-232, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Accessibility, Web, WCAG, User agent, UAAG |
21 | Badrish Chandramouli, Christopher N. Bond, Shivnath Babu, Jun Yang 0001 |
Query suspend and resume. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, Beijing, China, June 12-14, 2007, pp. 557-568, 2007, ACM, 978-1-59593-686-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
resume, suspend, optimization, processing, query |
21 | Qiangfeng Jiang, D. Manivannan 0001 |
An optimistic checkpointing and selective message logging approach for consistent global checkpoint collection in distributed systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-10, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|