The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for eFPGA with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2005-2018 (15) 2019-2022 (16) 2023-2024 (10)
Publication types (Num. hits)
article(11) inproceedings(30)
Venues (Conferences, Journals, ...)
CoRR(5) DATE(5) ICECS(3) CICC(2) FPL(2) ICCAD(2) IEEE Trans. Very Large Scale I...(2) ISVLSI(2) ReConFig(2) AICCSA(1) ARC(1) ASAP(1) DAC(1) DATE Designers' Forum(1) DFT(1) FCCM(1) More (+10 of total 25)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5 occurrences of 4 keywords

Results
Found 41 publication records. Showing 41 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
153Bernd Neumann, Thorsten von Sydow, Holger Blume, Tobias G. Noll Application Domain Specific Embedded FPGAs for Flexible ISA-Extension of ASIPs. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF eFPGA, Parametrisable architecture, Arithmetic oriented, Processor-eFPGA coupling, ASIP
117Bernd Neumann, Thorsten von Sydow, Holger Blume, Tobias G. Noll Design flow for embedded FPGAs based on a flexible architecture template. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
104Thorsten von Sydow, Holger Blume, Götz Kappen, Tobias G. Noll ASIP-eFPGA Architecture for Multioperable GNSS Receivers. Search on Bibsonomy SAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF arithmetic oriented eFPGA, multioperable GNSS, ASIP
70Sumanta Chaudhuri, Jean-Luc Danger, Sylvain Guilley Efficient Modeling and Floorplanning of Embedded-FPGA Fabric. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
70Thorsten von Sydow, Bernd Neumann, Holger Blume, Tobias G. Noll Quantitative Analysis of Embedded FPGA-Architectures for Arithmetic. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
70Francesco Lertora, Michele Borgatti Handling Different Computational Granularity by a Reconfigurable IC Featuring Embedded FPGAs and a Network-on-Chip. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
51Victor O. Aken'Ova, Resve A. Saleh A "Soft++" eFPGA Physical Design Approach with Case Studies in 180nm and 90nm. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Götz Kappen, Tobias G. Noll Application specific instruction processor based implementation of a GNSS receiver on an FPGA. Search on Bibsonomy DATE Designers' Forum The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Syed Zahid Ahmed, Julien Eydoux, Michael Fernández, Laurent Rouge, Gilles Sassatelli, Lionel Torres Power Consumption Reduction Explorations in Processors by Enhancing Performance Using Small ESL Reprogrammable eFPGAs. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded FPGA, eFPGA accelerator, Reconfigurable computing, Power Consumption, MIPS
28Allen Boston, Roman Gauchi, Pierre-Emmanuel Gaillardon Secure eFPGA Configuration: A System-Level Approach. Search on Bibsonomy ARC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
28Praveen Karmakar, Marpina Bharani, Chandan Karfa Evaluating the Robustness of Large scale eFPGA-based Hardware Redaction. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
28Jitendra Bhandari, Abdul Khader Thalakkattu Moosa, Benjamin Tan 0001, Christian Pilato, Ganesh Gore, Xifan Tang, Scott Temple, Pierre-Emmanuel Gaillardon, Ramesh Karri Not All Fabrics Are Created Equal: Exploring eFPGA Parameters for IP Redaction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Morihiro Kuga, Qian Zhao 0001, Yuya Nakazato, Motoki Amagasaki, Masahiro Iida An eFPGA Generation Suite with Customizable Architecture and IDE. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Zhaokun Han, Mohammed Shayan, Aneesh Dixit, Mustafa M. Shihab, Yiorgos Makris, Jeyavijayan Rajendran FuncTeller: How Well Does eFPGA Hide Functionality? Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Hadi Mardani Kamali, Kimia Zamiri Azar, Farimah Farahmandi, Mark M. Tehranipoor SheLL: Shrinking eFPGA Fabrics for Logic Locking. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Zhaokun Han, Mohammed Shayan, Aneesh Dixit, Mustafa M. Shihab, Yiorgos Makris, Jeyavijayan Rajendran FuncTeller: How Well Does eFPGA Hide Functionality? Search on Bibsonomy USENIX Security Symposium The full citation details ... 2023 DBLP  BibTeX  RDF
28Yunus Emre Eryilmaz, Hasan Erdem Yantir, Müstak E. Yalçin An Open-Source eFPGA-based SoC Design for Computation Acceleration. Search on Bibsonomy ICECS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Fei Gao 0016, Ting-Jung Chang, Ang Li, Marcelo Orenes-Vera, Davide Giri, Paul J. Jackson, August Ning, Georgios Tziantzioulis, Joseph Zuckerman, Jinzheng Tu 0001, Kaifeng Xu, Grigory Chirkov, Gabriele Tombesi, Jonathan Balkind, Margaret Martonosi, Luca P. Carloni, David Wentzlaff DECADES: A 67mm2, 1.46TOPS, 55 Giga Cache-Coherent 64-bit RISC-V Instructions per second, Heterogeneous Manycore SoC with 109 Tiles including Accelerators, Intelligent Storage, and eFPGA in 12nm FinFET. Search on Bibsonomy CICC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Mridha Md Mashahedur Rahman, Shams Tarek, Kimia Zamiri Azar, Farimah Farahmandi EnSAFe: Enabling Sustainable SoC Security Auditing using eFPGA-based Accelerators. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
28Sae Kyu Lee, Paul N. Whatmough, Marco Donato, Glenn G. Ko, David Brooks 0001, Gu-Yeon Wei SMIV: A 16-nm 25-mm² SoC for IoT With Arm Cortex-A53, eFPGA, and Coherent Accelerators. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Chiara Muscari Tomajoli, Luca Collini, Jitendra Bhandari, Abdul Khader Thalakkattu Moosa, Benjamin Tan 0001, Xifan Tang, Pierre-Emmanuel Gaillardon, Ramesh Karri, Christian Pilato ALICE: An Automatic Design Flow for eFPGA Redaction. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Tim Hotfilter, Fabian Kreß, Fabian Kempf, Jürgen Becker 0001, Imen Baili Data Movement Reduction for DNN Accelerators: Enabling Dynamic Quantization Through an eFPGA. Search on Bibsonomy ISVLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Tim Hotfilter, Fabian Kreß, Fabian Kempf, Jürgen Becker 0001, Juan Miguel De Haro Ruiz, Daniel Jiménez-González, Miquel Moretó, Carlos Álvarez 0001, Jesús Labarta, Imen Baili Towards Reconfigurable Accelerators in HPC: Designing a Multipurpose eFPGA Tile for Heterogeneous SoCs. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Amin Rezaei 0001, Raheel Afsharmazayejani, Jordan Maynard Evaluating the Security of eFPGA-Based Redaction Algorithms. Search on Bibsonomy ICCAD The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Chiara Muscari Tomajoli, Luca Collini, Jitendra Bhandari, Abdul Khader Thalakkattu Moosa, Benjamin Tan 0001, Xifan Tang, Pierre-Emmanuel Gaillardon, Ramesh Karri, Christian Pilato ALICE: an automatic design flow for eFPGA redaction. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
28Pasquale Davide Schiavone, Davide Rossi, Alfio Di Mauro, Frank K. Gürkaynak, Timothy Saxe, Mao Wang, Ket Chong Yap, Luca Benini Arnold: An eFPGA-Augmented RISC-V SoC for Flexible and Low-Power IoT End Nodes. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Jitendra Bhandari, Abdul Khader Thalakkattu Moosa, Benjamin Tan 0001, Christian Pilato, Ganesh Gore, Xifan Tang, Scott Temple, Pierre-Emmanuel Gaillardon, Ramesh Karri Not All Fabrics Are Created Equal: Exploring eFPGA Parameters For IP Redaction. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
28Jitendra Bhandari, Abdul Khader Thalakkattu Moosa, Benjamin Tan 0001, Christian Pilato, Ganesh Gore, Xifan Tang, Scott Temple, Pierre-Emmanuel Gaillardon, Ramesh Karri Exploring eFPGA-based Redaction for IP Protection. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
28Prashanth Mohan, Oguz Atli, Joseph Sweeney, Onur O. Kibar, Larry T. Pileggi, Ken Mai Hardware Redaction via Designer-Directed Fine-Grained eFPGA Insertion. Search on Bibsonomy DATE The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Jing Yu 0014, Andrew Attwood, Nguyen Dao, Dirk Koch The FABulous Open eFPGA Ecosystem in Action - From Specifications to Chips to Running Bitsteams. Search on Bibsonomy FPL The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Jitendra Bhandari, Abdul Khader Thalakkattu Moosa, Benjamin Tan 0001, Christian Pilato, Ganesh Gore, Xifan Tang, Scott Temple, Pierre-Emmanuel Gaillardon, Ramesh Karri Exploring eFPGA-based Redaction for IP Protection. Search on Bibsonomy ICCAD The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
28Pasquale Davide Schiavone, Davide Rossi, Alfio Di Mauro, Frank K. Gürkaynak, Timothy Saxe, Mao Wang, Ket Chong Yap, Luca Benini Arnold: an eFPGA-Augmented RISC-V SoC for Flexible and Low-Power IoT End-Nodes. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
28Francesco Renzini, Claudio Mucci, Davide Rossi, Eleonora Franchi Scarselli, Roberto Canegallo A Fully Programmable eFPGA-Augmented SoC for Smart Power Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
28Hajer Saidi, Mariem Turki, Zied Marrakchi, Abdulfattah Obeid, Mohamed Abid Novel Synthesizable eFPGA based on Island Network with Multilevel Switch Boxes. Search on Bibsonomy AICCSA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
28Paul N. Whatmough, Sae Kyu Lee, Marco Donato, Hsea-Ching Hsueh, Sam Likun Xi, Udit Gupta, Lillian Pentecost, Glenn G. Ko, David M. Brooks, Gu-Yeon Wei A 16nm 25mm2 SoC with a 54.5x Flexibility-Efficiency Range from Dual-Core Arm Cortex-A53 to eFPGA and Cache-Coherent Accelerators. Search on Bibsonomy VLSI Circuits The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28Francesco Renzini, Davide Rossi, Eleonora Franchi Scarselli, Claudio Mucci, Roberto Canegallo A Fully Programmable eFPGA-Augmented SoC for Smart-Power Applications. Search on Bibsonomy ICECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
28Matteo Cuppini, Eleonora Franchi Scarselli, Claudio Mucci, Roberto Canegallo Soft-core eFPGA for Smart Power applications. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Syed Zahid Ahmed, Julien Eydoux, Laurent Rouge, Jean-Baptiste Cuelle, Gilles Sassatelli, Lionel Torres Exploration of power reduction and performance enhancement in LEON3 processor with ESL reprogrammable eFPGA in processor pipeline and as a co-processor. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Xinyu Li, Omar Hammami Linear programming based design of reconfigurable network on chip on eFPGA. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Thorsten von Sydow, Matthias Korb, Bernd Neumann, Holger Blume, Tobias G. Noll Modelling and Quantitative Analysis of Coupling Mechanisms of Programmable Processor Cores and Arithmetic Oriented eFPGA Macros. Search on Bibsonomy ReConFig The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Victor O. Aken'Ova, Guy Lemieux, Resve A. Saleh An improved "soft" eFPGA design and implementation strategy. Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #41 of 41 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license