The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for fabless with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1998-2007 (15) 2008-2016 (14)
Publication types (Num. hits)
article(6) inproceedings(23)
Venues (Conferences, Journals, ...)
DAC(7) Int. J. Manuf. Technol. Manag.(2) ITC(2) VLSI Design(2) AI Soc.(1) ASP-DAC(1) ATS(1) CICC(1) DATE(1) Expert Syst. Appl.(1) ICCAD(1) IEEE Des. Test Comput.(1) ISCAS(1) ISLPED(1) ISQED(1) ISSCC(1) More (+10 of total 20)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 12 occurrences of 8 keywords

Results
Found 29 publication records. Showing 29 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
106Thomas Hartung, Jim Kupec, Ana Hunter, Brad Paulsen, Felicia James, Nick Yu How will the fabless model survive? Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fabless, foundry, DFM, business
94Yi-Nung Yang, Shi-Chung Chang A contract of purchase commitments on shared yields as a risk-sharing mechanism among fabless-foundry partnership. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
53Matt Nowak, Riko Radojcic Are there economic benefits in DFM? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fabless, foundries, DFM, design for manufacturability
32Hao Shen, Lance Shen, Pierce Xu, Wu Yang, Junna Zhong Application of Data Mining Based Scan Diagnosis Yield Analysis in a Foundry and Fabless Working Environment. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
32Arwa Ben Dhia, Lirida A. B. Naviner, Philippe Matherat Comparison of fault-tolerant fabless CLBs in SRAM-based FPGAs. Search on Bibsonomy LATW The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Ping K. Ko, C. Patrick Yue The evolution of fabless IC industry in China: Past, present, and future. Search on Bibsonomy VLSI-DAT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
32Wen-Min Lu, Wei-Kang Wang, Wei-Ting Tung, Fengyi Lin Capability and efficiency of intellectual capital: The case of fabless companies in Taiwan. Search on Bibsonomy Expert Syst. Appl. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
32Farrokh Ayazi Is fabless MEMS fabulous? Search on Bibsonomy ISSCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Mei-Tai Chu, Joseph Z. Shyu, Rajiv Khosla Measuring the relative performance for leading fabless firms by using data envelopment analysis. Search on Bibsonomy J. Intell. Manuf. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Shashank Bhonge, Vamsi Boppana Low power chips: a fabless asic perspective. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Bruce Cory Needs fabless yield ramp foundry partnership to be most successful. Search on Bibsonomy ITC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Jia-Jane Shuai, Gwo-Hshiung Tzeng, Han-Lin Li The multi-source fabless-foundry partnership selection model. Search on Bibsonomy Int. J. Manuf. Technol. Manag. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Louis Y. Y. Lu, Shiu-Wan Hung, Chyan Yang Successful factors of the fabless IC industry in Taiwan. Search on Bibsonomy Int. J. Manuf. Technol. Manag. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32 Fabless or IDM? What the Future Holds for Both: An Interview with Cirrus Logic Chairman, Michael L. Hackworth. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  BibTeX  RDF
32Jitendra Khare DFM - A Fabless Perspective. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32W. Terry Coston Issues for fabless design companies moving towards deep submicron system on a chip design. Search on Bibsonomy CICC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Nagaraj Ns, Juan C. Rey, Jamil Kawa, Robert C. Aitken, Christian Lütkemeyer, Vijay Pitchumani, Andrzej J. Strojwas, Steve Trimberger Who solves the variability problem? Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF IC variability
21KyungHo Kim Best ways to use billions of devices on a wireless mobile SoC. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Mary Lou Jepsen CAD for displays! Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Jorge Guajardo, Sandeep S. Kumar, Geert Jan Schrijen, Pim Tuyls Brand and IP protection with physical unclonable functions. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Juan C. Rey, N. S. Nagaraj, Andrew B. Kahng, Fabian Klass, Rob Aitken, Cliff Hou, Luigi Capodieci, Vivek Singh DFM in practice: hit or hype? Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF critical area analysis, CMP, yield, DFM, OPC, lithography
21Ruchir Puri, William H. Joyner, Shekhar Borkar, Ty Garibay, Jonathan Lotz, Robert K. Montoye Custom is from Venus and synthesis from Mars. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF IC synthesis techniques, custom IC design, VLSI design
21Wim Roelandts Creating a Culture of Innovation. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Oh-Hyun Kwon Perspective of the Future Semiconductor Industry: Challenges and Solutions. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  BibTeX  RDF
21Enrico Macii, Massoud Pedram, Dirk Friebel, Robert C. Aitken, Antun Domic, Roberto Zafalon Low-power design tools: are EDA vendors taking this matter seriously? Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Andreas J. Strojwas, Michael Campbell, Vassilios Gerousis, Jim Hogan, John Kibarian, Marc Levitt, Walter Ng, Dipu Pramanik, Mark Templeton When IC yield missed the target, who is at fault? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Tohru Ihara, Jie Zhu The general idea and usage of manufacturing knowledge data-contained differences of production culture. Search on Bibsonomy AI Soc. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Focused point of part drawing, Global production activities, Manufacturing knowledge data, Process planning difficulty, Production culture, Risk of manufacturing trouble
21Michael Reinhardt, Michael Santarini What is Design Quality? How can Quality in Electronic Design be Quantified? Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Charles H. Ouyang, Hans T. Heineken, Jitendra Khare, Saghir A. Shaikh, Manuel d'Abreu Maximizing Wafer Productivity Through Layout Optimization. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF wafer productivity, die cost, interconnect cost, manufacturability, yield, design for manufacturability
Displaying result #1 - #29 of 29 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license