The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for flipflops with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-2006 (15) 2007-2023 (14)
Publication types (Num. hits)
article(10) inproceedings(19)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 26 occurrences of 23 keywords

Results
Found 29 publication records. Showing 29 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
61Amir Masoud Gharehbaghi, Bijan Alizadeh, Masahiro Fujita Aggressive overclocking support using a novel timing error recovery technique on FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF overclocking, timing error detection, timing error recovery, fpga
53Hans-Georg Martin Retiming for Circuits with Enable Registers. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF enable registers, circuit retiming, combinational paths, D-Flipflops, retiming algorithm, sequential elements, high level synthesis, high level synthesis, digital circuits
41Gundolf Kiefer, Hans-Joachim Wunderlich Deterministic BIST with Partial Scan. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF deterministic scan-based BIST, partial scan
41Hyoung B. Min, William A. Rogers A test methodology for finite state machines using partial scan design. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF loop-free circuits, test generation, ATPG, fault, partial scan
41Arno Kunzmann, Hans-Joachim Wunderlich An analytical approach to the partial scan problem. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF partial scan path, sequential test generation, design for testability
33Ankit Wagle, Jinghua Yang, Niranjan Kulkarni, Sarma B. K. Vrudhula A New Approach to Clock Skewing for Area and Power Optimization of ASICs Using Differential Flipflops and Local Clocking. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
33Parth Parekh, Fei Yuan 0005, Yushi Zhou Improved Metastability of True Single-Phase Clock D-Flipflops With Applications in Vernier Time-to-Digital Converters. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
33Parth Parekh, Fei Yuan 0005, Yushi Zhou Area/Power-Efficient True-Single-Phase-Clock D-Flipflops with Improved Metastability. Search on Bibsonomy MWSCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
33Jinghua Yang, Niranjan Kulkarni, Joseph Davis, Sarma B. K. Vrudhula Fast and robust differential flipflops and their extension to multi-input threshold gates. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
33Toshihiro Konishi, Keisuke Okuno, Shintaro Izumi, Masahiko Yoshimoto, Hiroshi Kawaguchi 0001 A Second-Order All-Digital TDC with Low-Jitter Frequency Shift Oscillators and Dynamic Flipflops. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
33Keisuke Okuno, Toshihiro Konishi, Shintaro Izumi, Masahiko Yoshimoto, Hiroshi Kawaguchi 0001 A 62-dB SNDR second-order gated ring oscillator TDC with two-stage dynamic D-type flipflops as a quantization noise propagator. Search on Bibsonomy NEWCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
33Toshihiro Konishi, Keisuke Okuno, Shintaro Izumi, Masahiko Yoshimoto, Hiroshi Kawaguchi 0001 A 61-dB SNDR 700 µm2 second-order all-digital TDC with low-jitter frequency shift oscillators and dynamic flipflops. Search on Bibsonomy VLSIC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
33Ning Chen 0006, Bing Li 0005, Ulf Schlichtmann Timing Modeling of Flipflops Considering Aging Effects. Search on Bibsonomy PATMOS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
33Hiroki Sunagawa, Hidetoshi Onodera Variation-tolerant design of D-flipflops. Search on Bibsonomy SoCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
33Jiren Yuan, Christer Svensson New single-clock CMOS latches and flipflops with improved speed and power savings. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
33Klaus Lagemann Ein Vorschlag zur Darstellung asynchron betriebener JK-Flipflops. Search on Bibsonomy Elektron. Rechenanlagen The full citation details ... 1968 DBLP  DOI  BibTeX  RDF
33Flavio Carbognani, Luca Henzen Cross-over current suppressing latch compared to state-of-the-art for low-power low-frequency applications with resonant clocking. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF flipflops, low power design, clock, digital circuits, adiabatic
20Dong Xiang, Mingjing Chen, Jia-Guang Sun Scan BIST with biased scan test signals. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF random testability, test signal, biased random testing, scan-based BIST
20Sanjay Burman, Debdeep Mukhopadhyay, Kamakoti Veezhinathan LFSR Based Stream Ciphers Are Vulnerable to Power Attacks. Search on Bibsonomy INDOCRYPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Linear Feed Back Shift Registers, Dynamic Power Dissipation, Side Channel Attacks, Power Analysis, Hamming Distance
20Ganesh Venkataraman, Jiang Hu A Placement Methodology for Robust Clocking. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Kentaroh Katoh, Hideo Ito Built-In Self-Test for PEs of Coarse Grained Dynamically Reconfigurable Devices. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Coarse Grained Dynamically Reconfigurable Devices, DRP, BIST(Built-In Self Test), PE, DFT
20Rajeev R. Rao, David T. Blaauw, Dennis Sylvester Soft error reduction in combinational logic using gate resizing and flipflop selection. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Vivek Joshi, Rajeev R. Rao, David T. Blaauw, Dennis Sylvester Logic SER Reduction through Flipflop Redesign. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Hans-Dieter Wohlmuth, Daniel Kehrer A 24 GHz dual-modulus prescaler in 90nm CMOS. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Mario R. Casu, Luca Macchiarulo Floorplanning for throughput. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF systems-on-chip, throughput, floorplanning, wire pipelining
20Jason Cong, Chang Wu FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization of Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Sybille Hellebrand, Hans-Joachim Wunderlich An efficient procedure for the synthesis of fast self-testable controller structures. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Soumitra Bose, Prathima Agrawal, Vishwani D. Agrawal The optimistic update theorem for path delay testing in sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF test generation, Fault simulation, timing analysis, path delay faults
20Winfried Hahn, Kristian Fischer 0002 MuSiC: an event-flow computer for fast simulation of digital systems. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #29 of 29 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license