The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase floor-planning (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1998 (15) 1999-2004 (15) 2005-2009 (18) 2011-2023 (14)
Publication types (Num. hits)
article(23) inproceedings(38) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 22 occurrences of 20 keywords

Results
Found 62 publication records. Showing 62 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
66Gopalakrishnan Vijayan, Ren-Song Tsay A new method for floor planning using topological constraint reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
62Chien-Chih Liao, Hsueh-I Lu, Hsu-Chun Yen Floor-Planning via Orderly Spanning Trees. Search on Bibsonomy GD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
51Mongkol Ekpanyapong, Jacob R. Minz, Thaisiri Watewai, Hsien-Hsin S. Lee, Sung Kyu Lim Profile-guided microarchitectural floor planning for deep submicron processor design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Grazia Arato, Giuseppe Bussolino, Anna M. Fiammengo, Roberto Manione ACCORDO: second generation floor planning. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
29Yi-Hui Cheng, Yao-Wen Chang Integrating buffer planning with floorplanning for simultaneous multi-objective optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Christian Masson, Denis Barbier, Remy Escassut, Daniel Winer, Gregory Chevallier, Pierre François Zeegers CHEOPS: an integrated VLSI floor planning and chip assembly system implemented in object oriented LISP. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
28B. Lokanathan, Edwin Kinnen Performance optimized floor planning by graph planarization. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Jeffrey M. Carver, Richard Neil Pittman, Alessandro Forin Automatic bus macro placement for partially reconfigurable FPGA designs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF emips, reconfigurable computing, dynamic partial reconfiguration, floor-planning
26Husain Parvez, Zied Marrakchi, Habib Mehrez Enhanced Methodology and Tools for Exploring Domain-Specific Coarse-Grained FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Coarse-grained FPGA, Exploration environment, Floor-planning
26Jayaram Bhasker, Sartaj Sahni A linear algorithm to find a rectangular dual of a planar triangulated graph. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF planar triagulated graph, rectangular dual, algorithm, complexity, floor planning
22Alexandra Melike Brintrup, Hideyuki Takagi, Jeremy J. Ramsden Evaluation of Sequential, Multi-objective, and Parallel Interactive Genetic Algorithms for Multi-objective Floor Plan Optimisation. Search on Bibsonomy EvoWorkshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Amit K. Gupta II, Appa Iyer Sivakumar, Sumit Sarawgi Scheduling & control: shop floor scheduling with simulation based proactive decision support. Search on Bibsonomy WSC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Venkateswari Pichaimani, Manjula Ramakrishama Kalava Linear feature projective geometric damped convolutional deep belief network for indoor floor planning. Search on Bibsonomy J. Intell. Fuzzy Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17R. Karthick, A. Senthilselvi, P. Meenalochini An Optimal Partitioning and Floor Planning for VLSI Circuit Design Based on a Hybrid Bio-Inspired Whale Optimization and Adaptive Bird Swarm Optimization (WO-ABSO) Algorithm. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Pushpalatha Pondreti, Babulu Kaparapu Very Large-Scale Integration Floor Planning on FIR and Lattice Filters Design With Multi-Objective Hybrid Optimization. Search on Bibsonomy Int. J. Swarm Intell. Res. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17S. B. Vinay Kumar, P. V. Rao, Manoj Kumar Singh Optimal floor planning in VLSI using improved adaptive particle swarm optimization. Search on Bibsonomy Evol. Intell. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17 Positioning of WiFi devices for indoor floor planning using principal featured Kohonen deep structure. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Sivakumar Pothiraj, Jeya Prakash Kadambarajan, Pandiaraj Kadarkarai Floor Planning of 3D IC Design Using Hybrid Multi-verse Optimizer. Search on Bibsonomy Wirel. Pers. Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jonathan Klawitter, Felix Klesen, Alexander Wolff 0001 Algorithms for Floor Planning with Proximity Requirements. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
17Jonathan Klawitter, Felix Klesen, Alexander Wolff 0001 Algorithms for Floor Planning with Proximity Requirements. Search on Bibsonomy CAAD Futures The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Hamide Ozlem Dalgic, Erkan Bostanci, Mehmet Serdar Güzel Genetic Algorithm Based Floor Planning System. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
17Makoto Inoue, Muneyuki Unehara, Koichi Yamada, Megumu Hiramoto, Hideyuki Takagi Evaluation of hybrid optimization with EMO and IEC for architectural floor planning. Search on Bibsonomy SCIS&ISIS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Paul Horn, Gabor Lippner Two Layer 3D Floor Planning. Search on Bibsonomy Electron. J. Comb. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Paul Horn, Gabor Lippner Two Layer 3D Floor Planning Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
17Jaren Lamprecht, Brad L. Hutchings Profiling FPGA floor-planning effects on timing closure. Search on Bibsonomy FPL The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Huaming Zhang, Sadish Sadasivam Improved floor-planning of graphs via adjacency-preserving transformations. Search on Bibsonomy J. Comb. Optim. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Makoto Inoue, Hideyuki Takagi EMO-based Architectural Room Floor Planning. Search on Bibsonomy SMC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Bikram Garg, Ashish Agrawal, Rajeev Sehgal, Amarpal Singh, Manish Khanna Partitioning, floor planning, detailed placement and routing techniques for schematic generation of analog netlist. Search on Bibsonomy EWDTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Maciej Kurowski Simple and efficient floor-planning. Search on Bibsonomy Inf. Process. Lett. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Chien-Chih Liao, Hsueh-I Lu, Hsu-Chun Yen Compact floor-planning via orderly spanning trees. Search on Bibsonomy J. Algorithms The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Samir Roy, Sanghamitra Bandyopadhyay, Ujjwal Maulik Evolutionary Approach to Solve the Complex-Triangle Elimination (CTE) Problem of VLSI Floor-planning. Search on Bibsonomy IICAI The full citation details ... 2003 DBLP  BibTeX  RDF
17Chien-Chih Liao, Hsueh-I Lu, Hsu-Chun Yen Compact Floor-Planning via Orderly Spanning Trees Search on Bibsonomy CoRR The full citation details ... 2002 DBLP  BibTeX  RDF
17Kok-Hoo Yeap, Majid Sarrafzadeh Floor-Planning by Graph Dualization: 2-Concave Rectilinear Modules. Search on Bibsonomy SIAM J. Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Christian Masson, Remy Escassut, Denis Barbier, Daniel Winer, Gregory Chevallier Object Oriented Lisp Implementation of the CHEOPS VLSI Floor Planning and Routing System. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF LISP
17Wing K. Luk, Alvar A. Dean, John W. Mathews Partitioning and floor-planning for data-path chip (microprocessor) layout. Search on Bibsonomy Integr. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Marwan A. Jabri, David J. Skellern PIAF: efficient IC floor planning. Search on Bibsonomy IEEE Expert The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Wing K. Luk, Alvar A. Dean, John W. Mathews Multi-terrain partitioning and floor-planning for data-path chip (microprocessor) layout. Search on Bibsonomy ICCAD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Michael C. McFarland A fast floor planning algorithm for architectural evaluation. Search on Bibsonomy ICCD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Wayne Wei-Ming Dai, Ernest S. Kuh Simultaneous Floor Planning and Global Routing for Hierarchical Building-Block Layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
17Yu-Chin Hsu Floor Planning and Global Routing in an Automated Chip Design System Search on Bibsonomy 1987   RDF
17Howard S. Rifkin, William R. Heller, Steve Law, Misha Burich, Alberto L. Sangiovanni-Vincentelli Floor planning systems (panel session). Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
14Victor O. Aken'Ova, Resve A. Saleh A "Soft++" eFPGA Physical Design Approach with Case Studies in 180nm and 90nm. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Brett Feero, Partha Pratim Pande Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Shashank Prasad, Anuj Kumar Simultaneous Routing and Feedthrough Algorithm to Decongest Top Channel. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Yu Cheng 0001, Tao Zhang 0006, Song Chen VisionSynaptics: a system convert hand-writing and image symbol into computer symbol. Search on Bibsonomy ICIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF graphics segmenting, human-computer interaction, intelligent system, symbol recognition
11Akshaya Kumar Mishra, Justin A. Eichel, Paul W. Fieguth, David A. Clausi VizDraw: A Platform to Convert Online Hand-Drawn Graphics into Computer Graphics. Search on Bibsonomy ICIAR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Online hand-drawn diagram recognition, hypothesis generation and evaluation, stroke-based recognition
11Sumanta Chaudhuri, Sylvain Guilley, Florent Flament, Philippe Hoogvorst, Jean-Luc Danger An 8x8 run-time reconfigurable FPGA embedded in a SoC. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, RTR
11Lijun Gao, Keshab K. Parhi Models for Architectural Power and Power Grid Noise Analysis on Data Bus. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF augmented DBT model, SCTA model, STCTA model, power consumption, switching activity, power spectrum, transition probability, power grid noise, transition activity
11Rajarshi Mukhopadhyay, S. W. Yoon, Y. Park, Chang-Ho Lee, S. Nuttinck, Joy Laskar Investigation of inductors for digital Si-CMOS technologies. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Alexandra Melike Brintrup, Jeremy J. Ramsden, Ashutosh Tiwari Integrated qualitativeness in design by multi-objective optimization and interactive evolutionary computation. Search on Bibsonomy Congress on Evolutionary Computation The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Gi-Joon Nam, Charles J. Alpert, Paul Villarrubia, Bruce Winter, Mehmet Can Yildiz The ISPD2005 placement contest and benchmark suite. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI placement, benchmarks, physical design
11Chi-Sheng Shih 0001, Chia-Lin Yang, Mong-Kai Ku, Tei-Wei Kuo, Shao-Yi Chien, Yao-Wen Chang, Liang-Gee Chen Reconfigurable Platform for Content Science Research. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Yu-Liang Wu, Chi-Kong Chan On Improved Least Flexibility First Heuristics Superior for Packing and Stock Cutting Problems. Search on Bibsonomy SAGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Saurabh N. Adya, S. Chaturvedi, Jarrod A. Roy, David A. Papa, Igor L. Markov Unification of partitioning, placement and floorplanning. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Erik Larsson Integrating Core Selection in the SOC Test Solution Design-Flow. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Ashwin K. Kumaraswamy, Ahmet T. Erdogan, Indrajit Atluri Development of Timing Driven IP Design Flow based on Physical Knowledge Synthesis. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Erik Larsson, Hideo Fujiwara Test Resource Partitioning and Optimization for SOC Designs. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Jai-Ming Lin, Hsin-Lung Chen, Yao-Wen Chang Arbitrary Convex and Concave Rectilinear Module Packing Using TCG. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Michael Affenzeller, Franz Pichler, Rudolf Mittelmann On CAST.FSM Computation of Hierarchical Multi-layer Networks of Automata. Search on Bibsonomy EUROCAST The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Kyumyung Choi, Steven P. Levitan A flexible datapath allocation method for architectural synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF allocation and binding, high-level synthesis
11Christos A. Papachristou, Yusuf Alzazeri A Method of Distributed Controller Design for RTL Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky On wirelength estimations for row-based placement. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #62 of 62 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license