|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 232 occurrences of 145 keywords
|
|
|
Results
Found 366 publication records. Showing 366 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
75 | Sung-Woo Hur, Tung Cao, Karthik Rajagopal, Yegna Parasuram, Amit Chowdhary, Vladimir Tiourin, Bill Halpin |
Force directed mongrel with physical net constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 214-219, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
mongrel, net constraints, timing driven placement, force directed placement |
70 | Tony F. Chan, Jason Cong, Kenton Sze |
Multilevel generalized force-directed method for circuit placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 185-192, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
force-directed method, multilevel, standard cell placement |
66 | Karthik Rajagopal, Tal Shaked, Yegna Parasuram, Tung Cao, Amit Chowdhary, Bill Halpin |
Timing driven force directed placement with physical net constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2003 International Symposium on Physical Design, ISPD 2003, Monterey, CA, USA, April 6-9, 2003, pp. 60-66, 2003, ACM, 1-58113-650-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
net constraints, timing driven placement, force directed placement |
54 | Yih-Chih Chou, Youn-Long Lin |
A performance-driven standard-cell placer based on a modified force-directed algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2001 International Symposium on Physical Design, ISPD 2001, Sonoma County, CA, USA, April 1-4, 2001, pp. 24-29, 2001, ACM, 1-58113-347-2. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
placement, timing closure, force-directed |
54 | Wentao Sui, Sheqin Dong, Jinian Bian |
Wirelength-driven force-directed 3D FPGA placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 435-440, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
SA, partition, placement, legalization, 3-D, force-directed |
54 | Meva Dodo, Fenohery Andriamanampisoa, Patrice Torguet, Jean-Pierre Jessel |
A new method to optimize the force-directed placement for 3D large graph drawing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Afrigraph ![In: Proceedings of the 5th International Conference on Computer Graphics, Virtual Reality, Visualisation and Interaction in Africa, Afrigraph 2007, Grahamstown, South Africa, October 29-31, 2007, pp. 145-149, 2007, ACM, 978-1-59593-906-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
graph drawing, 3D visualization, force-directed placement |
54 | Tim Dwyer, Kim Marriott, Michael Wybrow |
Integrating Edge Routing into Force-Directed Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 14th International Symposium, GD 2006, Karlsruhe, Germany, September 18-20, 2006. Revised Papers, pp. 8-19, 2006, Springer, 978-3-540-70903-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
constrained optimisation, edge routing, graph layout, force-directed layout |
54 | Chun-Cheng Lin, Hsu-Chun Yen |
A New Force-Directed Graph Drawing Method Based on Edge-Edge Repulsion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IV ![In: 9th International Conference on Information Visualisation, IV 2005, 6-8 July 2005, London, UK, pp. 329-334, 2005, IEEE Computer Society, 0-7695-2397-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Force-directed method, angular resolution, potential field |
54 | Pradeep Prabhakaran, Prithviraj Banerjee |
Parallel Algorithms for Force Directed Scheduling of Flattened and Hierarchical Signal Flow Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings, pp. 66-71, 1996, IEEE Computer Society, 0-8186-7554-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
parallel algorithms, multiprocessors, High-level synthesis, network of workstations, hierarchical graphs, force-directed scheduling |
49 | Hao Li, Wai-Kei Mak, Srinivas Katkoori |
Force-Directed Performance-Driven Placement Algorithm for FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), Emerging Trends in VLSI Systems Design, 19-20 February 2004, Lafayette, LA, USA, pp. 193-198, 2004, IEEE Computer Society, 0-7695-2097-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
45 | Christian Klukas, Falk Schreiber, Henning Schwöbbermeyer |
Coordinated perspectives and enhanced force-directed layout for the analysis of network motifs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APVIS ![In: Asia-Pacific Symposium on Information Visualisation, APVIS 2006, Tokyo, Japan, February 1-3, 2006, pp. 39-48, 2006, Australian Computer Society, 1-920682-41-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
coordinated perspectives, graph drawing, network analysis, multiple views, information visualisation, network motifs, force-directed layout |
44 | Andrew A. Kennings, Kristofer Vorwerk |
Force-Directed Methods for Generic Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(10), pp. 2076-2087, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
43 | Stephen G. Kobourov, Kevin Wampler |
Non-Euclidean Spring Embedders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 11(6), pp. 757-767, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Force-directed algorithms, spring embedders, hyperbolic space, spherical space, information visualization, graph drawing, non-Euclidean geometry |
43 | Tim Dwyer, Yehuda Koren, Kim Marriott |
IPSep-CoLa: An Incremental Procedure for Separation Constraint Layout of Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 12(5), pp. 821-828, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
stress majorization, force directed algorithms, constraints, layout, Graph drawing, multidimensional scaling |
40 | Stelian Alupoaei, Srinivas Katkoori |
Net-based force-directed macrocell placement for wirelength optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 10(6), pp. 824-835, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
40 | Chris Walshaw |
A Multilevel Algorithm for Force-Directed Graph Drawing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 8th International Symposium, GD 2000, Colonial Williamsburg, VA, USA, September 20-23, 2000, Proceedings, pp. 171-182, 2000, Springer, 3-540-41554-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
39 | Fayez Mohamood, Michael B. Healy, Sung Kyu Lim, Hsien-Hsin S. Lee |
Noise-Direct: A Technique for Power Supply Noise Aware Floorplanning Using Microarchitecture Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 12th Conference on Asia South Pacific Design Automation, ASP-DAC 2007, Yokohama, Japan, January 23-26, 2007, pp. 786-791, 2007, IEEE Computer Society, 1-4244-0629-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
wire-length driven floorplan, noise-direct, power supply noise aware floorplanning, microarchitecture profiling, aggressive power saving techniques, power delivery network, power consumption reduction, self weighting, correlation weighting, force-directed floorplanning algorithm, power pin affinity, current consumption, di/dt control, supply-noise margin violations, clock-gating, microprocessor designers, power constraints, inductive noise, decoupling capacitances |
38 | Tim Dwyer, Yehuda Koren, Kim Marriott |
Drawing Directed Graphs Using Quadratic Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 12(4), pp. 536-548, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
force directed algorithms, Directed graphs, hierarchy, graph drawing, quadratic programming, majorization |
37 | Yuan Gao, Dancheng Li, Chunyan Han, Zhiliang Zhu 0001 |
An Improved Network Topology Auto-layout Solution Based on Force-Directed Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HIS (3) ![In: 9th International Conference on Hybrid Intelligent Systems (HIS 2009), August 12-14, 2009, Shenyang, China, pp. 10-14, 2009, IEEE Computer Society, 978-0-7695-3745-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Autolayout, FR Algorithm, Network Topology, Force-directed |
36 | Peter Spindler, Ulf Schlichtmann, Frank M. Johannes |
Kraftwerk2 - A Fast Force-Directed Quadratic Placement Approach Using an Accurate Net Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(8), pp. 1398-1411, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Kristofer Vorwerk, Andrew A. Kennings |
An Improved Multi-Level Framework for Force-Directed Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 902-907, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
34 | Stephen G. Kobourov, Kevin Wampler |
Non-Euclidean Spring Embedders. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOVIS ![In: 10th IEEE Symposium on Information Visualization (InfoVis 2004), 10-12 October 2004, Austin, TX, USA, pp. 207-214, 2004, IEEE Computer Society, 0-7803-8779-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
force-directed algorithms, spring embedders, hyperbolic space, spherical space, information visualization, graph drawing, non-Euclidean geometry |
34 | Stelian Alupoaei, Srinivas Katkoori |
Net Clustering Based Macrocell Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC/VLSI Design ![In: Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002, pp. 399-, 2002, IEEE Computer Society, 0-7695-1299-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Macrocell placement, net clustering, net placement, net prioritization, force-directed placement, iterative improvement |
34 | Lila Behzadi |
LayoutShow: A Signed Applet/Application for Graph Drawing and Experimentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 7th International Symposium, GD'99, Stirín Castle, Czech Republic, September 1999, Proceedings, pp. 242-249, 1999, Springer, 3-540-66904-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
32 | Suvodeep Gupta, Srinivas Katkoori |
Force-Directed Scheduling for Dynamic Power Optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2002 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2002), 25-26 April 2002, Pittsburgh, PA, USA, pp. 75-82, 2002, IEEE Computer Society, 0-7695-1486-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
32 | David Forrester, Stephen G. Kobourov, Armand Navabi, Kevin Wampler, Gary V. Yee |
Graphael: A System for Generalized Force-Directed Layouts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 12th International Symposium, GD 2004, New York, NY, USA, September 29 - October 2, 2004, Revised Selected Papers, pp. 454-464, 2004, Springer, 3-540-24528-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
32 | Yanga Byun, Kyungsook Han |
Visualization of Protein-Protein Interaction Networks Using Force-Directed Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science ![In: Computational Science - ICCS 2003, International Conference, Melbourne, Australia and St. Petersburg, Russia, June 2-4, 2003. Proceedings, Part III, pp. 190-199, 2003, Springer, 3-540-40196-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
32 | Emden R. Gansner, Stephen C. North |
Improved Force-Directed Layouts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 6th International Symposium, GD'98, Montréal, Canada, August 1998, Proceedings, pp. 364-373, 1998, Springer, 3-540-65473-9. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
32 | Wim F. J. Verhaegh, Paul E. R. Lippens, Emile H. L. Aarts, Jan H. M. Korst, Jef L. van Meerbergen, Albert van der Werf |
Improved force-directed scheduling in high-throughput digital signal processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(8), pp. 945-960, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
30 | Dirk Zeckzer, Robert Kalcklösch, Leon Schröder, Hans Hagen, Timo Klein |
Analyzing the reliability of communication between software entities using a 3D visualization of clustered graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOFTVIS ![In: Proceedings of the ACM 2008 Symposium on Software Visualization, Ammersee, Germany, September 16-17, 2008, pp. 37-46, 2008, ACM, 978-1-60558-112-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
software visualization, software analysis, gossip-based protocol, force-directed layout |
30 | Jason Cong, Guojie Luo |
Highly efficient gradient computation for density-constrained analytical placement methods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2008 International Symposium on Physical Design, ISPD 2008, Portland, Oregon, USA, April 13-16, 2008, pp. 39-46, 2008, ACM, 978-1-60558-048-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
force-directed method, mixed-size placement |
30 | Tony F. Chan, Jason Cong, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 |
mPL6: enhanced multilevel mixed-size placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 212-214, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
mixed-size placement, legalization, Helmholtz equation, force-directed placement, multilevel optimization |
30 | Hiroki Omote, Kozo Sugiyama |
Method for drawing intersecting clustered graphs and its application to web ontology language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APVIS ![In: Asia-Pacific Symposium on Information Visualisation, APVIS 2006, Tokyo, Japan, February 1-3, 2006, pp. 89-92, 2006, Australian Computer Society, 1-920682-41-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
intersecting clustered graph, OWL, graph drawing, force-directed |
30 | Rehab F. Abdel-Kader |
Resource-constrained loop scheduling in high-level synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference (2) ![In: Proceedings of the 43nd Annual Southeast Regional Conference, 2005, Kennesaw, Georgia, Alabama, USA, March 18-20, 2005, Volume 2, pp. 195-200, 2005, ACM. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
scheduling, high-level synthesis, force-directed scheduling |
30 | Bernd Obermeier, Hans Ranke, Frank M. Johannes |
Kraftwerk: a versatile placement approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 242-244, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Kraftwerk, force-directed placement, domino |
30 | Tony F. Chan, Jason Cong, Michail Romesis, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 |
mPL6: a robust multilevel mixed-size placement engine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 227-229, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
mixed-size placement, legalization, helmholtz equation, force-directed placement, multilevel optimization |
30 | Gang Wang 0015, Wenrui Gong, Ryan Kastner |
Instruction scheduling using MAX-MIN ant system optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 15th ACM Great Lakes Symposium on VLSI 2005, Chicago, Illinois, USA, April 17-19, 2005, pp. 44-49, 2005, ACM, 1-59593-057-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
MAX-MIN ant system, instruction scheduling, list scheduling, force-directed scheduling |
30 | Janet M. Six, Ioannis G. Tollis |
Effective Graph Visualization via Node Grouping. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOVIS ![In: IEEE Symposium on Information Visualization 2001 (INFOVIS'01), San Diego, CA, USA, October 22-23, 2001., pp. 51-58, 2001, IEEE Computer Society, 0-7695-1342-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Force-Directed Drawing, Node Grouping, Graph Drawing, Graph Visualization, Experimental Studies, Orthogonal Drawing |
30 | Wen-Tsong Shiue |
High Level Synthesis for Peak Power Minimization Using ILP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 12th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2000), 10-12 July 2000, Boston, MA, USA, pp. 103-112, 2000, IEEE Computer Society, 0-7695-0716-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Peak power minimization, latency-constrained scheduling, High-level synthesis, low power design, integer linear programming, force-directed scheduling |
28 | Alistair Palmer, Oliver Sinnen |
Scheduling Algorithm Based on Force Directed Clustering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Ninth International Conference on Parallel and Distributed Computing, Applications and Technologies, PDCAT 2008, Dunedin, Otago, New Zealand, 1-4 December 2008, pp. 311-318, 2008, IEEE Computer Society, 978-0-7695-3443-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Xue Wang 0001, Sheng Wang 0010, Daowei Bi |
Virtual Force-Directed Particle Swarm Optimization for Dynamic Deployment in Wireless Sensor Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (1) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Theoretical and Methodological Issues, Third International Conference on Intelligent Computing, ICIC 2007, Qingdao, China, August 21-24, 2007, Proceedings, pp. 292-303, 2007, Springer, 978-3-540-74170-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Deployment optimization, Wireless sensor network, Particle swarm optimization, Virtual force |
28 | Yannis Tzitzikas, Jean-Luc Hainaut |
How to Tame a Very Large ER Diagram (Using Link Analysis and Force-Directed Drawing Algorithms). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ER ![In: Conceptual Modeling - ER 2005, 24th International Conference on Conceptual Modeling, Klagenfurt, Austria, October 24-28, 2005, Proceedings, pp. 144-159, 2005, Springer, 3-540-29389-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Kristofer Vorwerk, Andrew A. Kennings, Anthony Vannelli |
Engineering details of a stable force-directed placer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 573-580, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
28 | R. Forbes |
Heuristic Acceleration of Force-Directed Placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987., pp. 735-740, 1987, IEEE Computer Society Press / ACM. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
25 | Peter Spindler, Frank M. Johannes |
Fast and robust quadratic placement combined with an exact linear net model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 179-186, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Pingqiang Zhou, Yuchun Ma, Zhuoyuan Li, Robert P. Dick, Li Shang, Hai Zhou 0001, Xianlong Hong, Qiang Zhou 0001 |
3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2007 International Conference on Computer-Aided Design, ICCAD 2007, San Jose, CA, USA, November 5-8, 2007, pp. 590-597, 2007, IEEE Computer Society, 1-4244-1382-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Valentina Muresan, Xiaojun Wang 0001, Mircea Vladutiu |
A combined tree growing technique for block-test scheduling under power constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 255-258, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Romain Bourqui, David Auber, Patrick Mary |
How to Draw ClusteredWeighted Graphs using a Multilevel Force-Directed Graph Drawing Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IV ![In: 11th International Conference on Information Visualisation, IV 2007, 2-6 July 2007, Zürich, Switzerland, pp. 757-764, 2007, IEEE Computer Society, 0-7695-2907-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Hiroki Omote, Kozo Sugiyama |
Force-directed drawing method for intersecting clustered graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APVIS ![In: APVIS 2007, 6th International Asia-Pacific Symposium on Visualization 2007, Sydney, Australia, 5-7 February 2007, pp. 85-92, 2007, IEEE Computer Society, 1-4244-0808-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Zhixiong Zhou, Hu He 0001, Yanjun Zhang, Yihe Sun, Adriel Cheng |
A 2-Dimension Force-Directed Scheduling Algorithm for Register-File-Connectivity Clustered VLIW Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: IEEE International Conference on Application-Specific Systems, Architectures and Processors, ASAP 2007, Montréal, Québec, Canada, July 8-11, 2007, pp. 371-376, 2007, IEEE Computer Society, 978-1-4244-1026-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Balasubramanian Sethuraman, Ranga Vemuri |
A Force-directed Approach for Fast Generation of Efficient Multi-Port NoC Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 20th International Conference on VLSI Design (VLSI Design 2007), Sixth International Conference on Embedded Systems (ICES 2007), 6-10 January 2007, Bangalore, India, pp. 419-426, 2007, IEEE Computer Society, 0-7695-2762-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Benjamin Finkel, Roberto Tamassia |
Curvilinear Graph Drawing Using the Force-Directed Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 12th International Symposium, GD 2004, New York, NY, USA, September 29 - October 2, 2004, Revised Selected Papers, pp. 448-453, 2004, Springer, 3-540-24528-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Burkay Genç, Ugur Dogrusöz |
A Constrained, Force-Directed Layout Algorithm for Biological Pathways. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 11th International Symposium, GD 2003, Perugia, Italy, September 21-24, 2003, Revised Papers, pp. 314-319, 2003, Springer, 3-540-20831-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Noritaka Osawa |
A Multiple-Focus Graph Browsing Technique Using Heat Models and Force-Directed Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IV ![In: International Conference on Information Visualisation, IV 2001, London, England, UK, July 25-27, 2001, pp. 277-284, 2001, IEEE Computer Society, 0-7695-1195-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Richard Cole 0002 |
Automated Layout of Concept Lattices Using Force Directed Placement and Genetic Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSC ![In: 23rd Australasian Computer Science Conference (ACSC 2000), 31 January - 3 February 2000, Canberra, Australia, pp. 31-42, 2000, IEEE Computer Society, 0-7695-0518-X. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
23 | Pawel Gajer, Michael T. Goodrich, Stephen G. Kobourov |
A Multi-dimensional Approach to Force-Directed Layouts of Large Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 8th International Symposium, GD 2000, Colonial Williamsburg, VA, USA, September 20-23, 2000, Proceedings, pp. 211-221, 2000, Springer, 3-540-41554-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
23 | François Bertault |
A Force-Directed Algorithm that Preserves Edge Crossing Properties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 7th International Symposium, GD'99, Stirín Castle, Czech Republic, September 1999, Proceedings, pp. 351-358, 1999, Springer, 3-540-66904-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
23 | Chunho Lee, Miodrag Potkonjak, Wayne H. Wolf |
System-Level Synthesis of Application Specific Systems using A* Search and Generalized Force-Directed Heuristics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 9th International Symposium on System Synthesis, ISSS '96, San Diego, CA, USA, November 6-8, 1996., pp. 2-7, 1996, ACM / IEEE Computer Society, 0-8186-7563-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Hard Real-Time, Search Techniques, System-Level Synthesis |
23 | Pierre G. Paulin, John P. Knight |
Force-directed scheduling for the behavioral synthesis of ASICs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 8(6), pp. 661-679, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
23 | Pierre G. Paulin, John P. Knight |
Force-Directed Scheduling in Automatic Data Path Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 24th ACM/IEEE Design Automation Conference. Miami Beach, FL, USA, June 28 - July 1, 1987., pp. 195-202, 1987, IEEE Computer Society Press / ACM. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
22 | Fahai Zhong, Mingliang Xue, Jian Zhang, Fan Zhang, Rui Ban, Oliver Deussen, Yunhai Wang |
Force-Directed Graph Layouts Revisited: A New Force Based on the T-Distribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2303.03964, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
22 | Antoine Hinge |
Dessin de graphe distribué par modèle de force : application au Big Data. (Distributed force directed graph drawing : a Big Data case study). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
RDF |
|
22 | Farshad Ghassemi Toosi, Nikola S. Nikolov |
Sync-and-Burst: Force-Directed Graph Drawing with Uniform Force Magnitudes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/1509.05265, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
22 | Carl Crawford, Chris Walshaw, Alan J. Soper |
A Multilevel Force-directed Graph Drawing Algorithm Using Multilevel Global Force Approximation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IV ![In: 16th International Conference on Information Visualisation, IV 2012, Montpellier, France, July 11-13, 2012, pp. 454-459, 2012, IEEE Computer Society, 978-1-4673-2260-7. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
22 | Sriram Govindarajan, Ranga Vemuri |
Dynamic Bounding of Successor Force Computations in the Force Directed List Scheduling Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings 1997 International Conference on Computer Design: VLSI in Computers & Processors, ICCD '97, Austin, Texas, USA, October 12-15, 1997, pp. 752-757, 1997, IEEE Computer Society, 0-8186-8206-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
22 | Manohar B. Srikanth, P. C. Mathias, Vijay Natarajan, Prakash Naidu, Timothy Poston |
Visibility volumes for interactive path optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 24(7-9), pp. 635-647, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Flight paths, Force-directed ropes, Haptics, Multimodal interaction, Visibility analysis |
22 | Ryan Blue, Cody Dunne, Adam Fuchs, Kyle King, Aaron Schulman |
Visualizing Real-Time Network Resource Usage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VizSEC ![In: 5th International Workshop on Visualization for Computer Security, VizSec 2008, Cambridge, MA, USA, September 15, 2008. Proceedings, pp. 119-135, 2008, Springer, 978-3-540-85931-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Network Administration, Real-Time, Treemap, Force-Directed |
22 | Dirk Beyer 0001 |
CCVisu: automatic visual software decomposition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE Companion ![In: 30th International Conference on Software Engineering (ICSE 2008), Leipzig, Germany, May 10-18, 2008, Companion Volume, pp. 967-968, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
force-directed graph layout, clustering, reverse engineering, software visualization, software quality assurance, software decomposition |
22 | Dirk Beyer 0001, Ahmed E. Hassan |
Evolution Storyboards: Visualization of Software Structure Dynamics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPC ![In: 14th International Conference on Program Comprehension (ICPC 2006), 14-16 June 2006, Athens, Greece, pp. 248-251, 2006, IEEE Computer Society, 0-7695-2601-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
software structure analysis, force-directed graph layout, Software evolution, software visualization, reengineering, dependency analysis, software clustering |
22 | Dirk Beyer 0001 |
Co-change visualization applied to PostgreSQL and ArgoUML: (MSR challenge report). ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSR ![In: Proceedings of the 2006 International Workshop on Mining Software Repositories, MSR 2006, Shanghai, China, May 22-23, 2006, pp. 165-166, 2006, ACM, 1-59593-397-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
force-directed graph layout, software structure analysis, software visualization, software clustering |
22 | Andreas Noack, Claus Lewerentz |
A space of layout styles for hierarchical graph models of software systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOFTVIS ![In: Proceedings of the ACM 2005 Symposium on Software Visualization, St. Louis, Missouri, USA, May 14-15, 2005, pp. 155-164, 2005, ACM, 1-59593-073-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
force-directed methods, clustering, information visualization, reverse engineering, refactoring, program understanding, software visualization, graph drawing, focus + context |
22 | Bo Hu 0006, Yue Zeng, Malgorzata Marek-Sadowska |
mFAR: fixed-points-addition-based VLSI placement algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2005 International Symposium on Physical Design, ISPD 2005, San Francisco, California, USA, April 3-6, 2005, pp. 239-241, 2005, ACM, 1-59593-021-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
placement, fixed points, force-directed |
22 | Brent Goplen, Prashant Saxena, Sachin S. Sapatnekar |
Net weighting to reduce repeater counts during placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 503-508, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
interconnect, placement, scaling, buffering, repeater, force-directed placement, net weighting |
22 | Liran Carmel, David Harel, Yehuda Koren |
Combining Hierarchy and Energy Drawing Directed Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 10(1), pp. 46-57, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Directed graph drawing, hierarchy energy, Fiedler vector, minimum linear arrangement, force directed layout |
22 | Michael Granitzer, Wolfgang Kienreich, Vedran Sabol, Keith Andrews, Werner Klieber |
Evaluating a System for Interactive Exploration of Large, Hierarchically Structured Document Repositories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOVIS ![In: 10th IEEE Symposium on Information Visualization (InfoVis 2004), 10-12 October 2004, Austin, TX, USA, pp. 127-134, 2004, IEEE Computer Society, 0-7803-8779-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
hierarchical repositories, knowledge management, navigation, information management, document retrieval, information visualisation, Voronoi, force-directed placement |
22 | Prashant Saxena, Bill Halpin |
Modeling repeaters explicitly within analytical placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 699-704, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
interconnect, placement, scaling, buffering, repeater insertion, force-directed placement, analytical placement |
22 | Ulrik Brandes, Patrick Kenis, Dorothea Wagner |
Communicating Centrality in Policy Network Drawings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 9(2), pp. 241-253, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Information visualization, social network analysis, graph drawing, centrality, force-directed placement |
22 | Alistair Morrison, Matthew Chalmers |
Improving Hybrid MDS with Pivot-Based Searching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOVIS ![In: 9th IEEE Symposium on Information Visualization (InfoVis 2003), 20-21 October 2003, Seattle, WA, USA, 2003, IEEE Computer Society, 0-7695-2055-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
spring models, near-neighbour search, Multidimensional scaling, hybrid algorithms, MDS, pivots, force directed placement |
22 | Yehuda Koren, Liran Carmel, David Harel |
ACE: A Fast Multiscale Eigenvectors Computation for Drawing Huge Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOVIS ![In: 2002 IEEE Symposium on Information Visualization (InfoVis 2002), 27 October - 1 November 2002, Boston, MA, USA, pp. 137-144, 2002, IEEE Computer Society, 0-7695-1751-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
multiscale/multilevel optimization, Fiedler vector, the Hall energy, graph drawing, algebraic multigrid, generalized eigenvalue problem, force directed layout |
22 | Douglas Chang, Malgorzata Marek-Sadowska |
Partitioning Sequential Circuits on Dynamically Reconfigurable FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(6), pp. 565-578, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Dynamically reconfigurable FPGAs, time-mulitplexed FPGA, Dharma, DPGA, field programmable gate array, partitioning, reconfigurable computing, sequential circuit, force directed scheduling |
22 | Jonathan D. Cohen 0002 |
Drawing Graphs to Convey Proximity: An Incremental Arrangement Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Hum. Interact. ![In: ACM Trans. Comput. Hum. Interact. 4(3), pp. 197-229, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
graph drawing, multidimensional scaling, MDS, graph layout, force-directed |
17 | Yih-Chih Chou, Youn-Long Lin |
Effective enforcement of path-delay constraints inperformance-driven placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 21(1), pp. 15-22, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Yehuda Koren, Ali Civril |
The Binary Stress Model for Graph Drawing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 16th International Symposium, GD 2008, Heraklion, Crete, Greece, September 21-24, 2008. Revised Papers, pp. 193-205, 2008, Springer, 978-3-642-00218-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
17 | Peter Spindler, Frank M. Johannes |
Fast and accurate routing demand estimation for efficient routability-driven placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1226-1231, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Gautam Kumar, Michael Garland |
Visual Exploration of Complex Time-Varying Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 12(5), pp. 805-812, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Graph and network visualization, financial data visualization, time series data, hierarchy visualization |
17 | Cathal Hoare, Humphrey Sorensen |
Information Foraging with a Proximity-Based Browsing Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Artif. Intell. Rev. ![In: Artif. Intell. Rev. 24(3-4), pp. 233-252, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
information retrieval, visualisation, information foraging |
17 | Stefan Hachul, Michael Jünger |
Drawing Large Graphs with a Potential-Field-Based Multilevel Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GD ![In: Graph Drawing, 12th International Symposium, GD 2004, New York, NY, USA, September 29 - October 2, 2004, Revised Selected Papers, pp. 285-295, 2004, Springer, 3-540-24528-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
17 | Ming-Che Chuang, Hsu-Chun Yen |
On Nearly Symmetric Drawings of Graphs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IV ![In: International Conference on Information Visualisation, IV 2002, London, England, UK, July 10-12, 2002, pp. 489-, 2002, IEEE Computer Society, 0-7695-1656-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
17 | Michael D. Osterman, Michael G. Pecht |
Placement for reliability and routability of convectively cooled PWBs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9(7), pp. 734-744, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
17 | Tim Dwyer, Yehuda Koren |
DIG-COLA: Directed Graph Layout through Constrained Energy Minimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFOVIS ![In: IEEE Symposium on Information Visualization (InfoVis 2005), 23-25 October 2005, Minneapolis, MN, USA, pp. 65-72, 2005, IEEE Computer Society, 0-7803-9464-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Felix Gaisbauer 0002, Armin Pournaki, Sven Banisch, Eckehard Olbrich |
Grounding force-directed network layouts with latent space models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Soc. Sci. ![In: J. Comput. Soc. Sci. 6(2), pp. 707-739, October 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Shinya Abe, Shoko Fujii, Tatsuya Sato, Yuto Komatsu, Satoshi Fujitsu, Hiroshi Fujisawa |
Web-based Force-directed Adaptive Device Selection for User Notification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Inf. Process. ![In: J. Inf. Process. 31, pp. 404-412, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Yuming Shang, Heyan Huang, Xin Sun, Wei Wei 0002, Xian-Ling Mao |
Learning Relation Ties with a Force-Directed Graph in Distant Supervised Relation Extraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Inf. Syst. ![In: ACM Trans. Inf. Syst. 41(1), pp. 10:1-10:23, January 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Hamidreza Lotfalizadeh, Mohammad Al Hasan |
Force-directed graph embedding with hops distance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2309.05865, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Sizhe Wang, Wenwen Li 0002, Zhining Gu |
GeoGraphViz: Geographically Constrained 3D Force-Directed Graph for Knowledge Graph Visualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2304.09864, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Gianni Peter Pasqual, Jürgen Jung, Bardo Fraunholz |
Determining Critical Success Factors of the Digital Transformation Using a Force-Directed Network Graph. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Complex Syst. Informatics Model. Q. ![In: Complex Syst. Informatics Model. Q. 37, pp. 22-53, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Sizhe Wang, Wenwen Li 0002, Zhining Gu |
GeoGraphViz: Geographically constrained 3D force-directed graph for knowledge graph visualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. GIS ![In: Trans. GIS 27(4), pp. 931-948, June 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | Hamidreza Lotfalizadeh, Mohammad Al Hasan |
Force-directed graph embedding with hops distance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Big Data ![In: IEEE International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023, pp. 2946-2953, 2023, IEEE, 979-8-3503-2445-7. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
15 | António Cruz, Joel P. Arrais, Penousal Machado |
Force-Directed Timelines: Visualizing & Exploring Temporal Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Big Data Res. ![In: Big Data Res. 27, pp. 100291, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
15 | Se-Hang Cheong, Kuai-Un Mok, Yain-Whar Si |
Hole detection in wireless sensor network with force-directed algorithm and contour tracing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Sens. Networks ![In: Int. J. Sens. Networks 39(3), pp. 174-191, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 366 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ >>] |
|