The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for foundries with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-2000 (15) 2001-2005 (19) 2006-2008 (20) 2009-2018 (16) 2019-2023 (6)
Publication types (Num. hits)
article(18) inproceedings(58)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 35 occurrences of 31 keywords

Results
Found 76 publication records. Showing 76 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
52Matt Nowak, Riko Radojcic Are there economic benefits in DFM? Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fabless, foundries, DFM, design for manufacturability
49Mike Brunoli, Masao Hotta, Felicia James, Rudy Koch, Roy McGuffin, Andrew J. Moore Analog intellectual property: now? Or never? Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Shang-yi Chiang Foundries and the Dawn of an Open IP Era. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Patrik Thollander Barriers to and driving forces for the implementation of manufacturing simulation in the Swedish foundry industry. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Jay Vleeschhouwer, Warren East, Michael J. Fister, Aart J. de Geus, Walden C. Rhines, Jackson Hu, Rick Cassidy Differentiate and deliver: leveraging your partners. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF EDS, semiconductor fabrication, supplier-customer relationships, intellectual property, processors
33Jacob K. White 0001, Gary K. Fedder, Tamal Mukherjee Path toward future CAD environments for MEMS (tutorial abstract). Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Rahul Vishwakarma, Ravi Monani, Ava Hedayatipour, Amin Rezaei 0001 Reliable and secure memristor-based chaotic communication against eavesdroppers and untrusted foundries. Search on Bibsonomy Discov. Internet Things The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
29Amin Rezaei 0001, Jie Gu 0001, Hai Zhou 0001 Hybrid Memristor-CMOS Obfuscation Against Untrusted Foundries. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2021 DBLP  BibTeX  RDF
29Maria Gabriela S. Furtado, Victor Claudio Bento de Camargo, Franklina Maria Bragion Toledo The production planning problem of orders in small foundries. Search on Bibsonomy RAIRO Oper. Res. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Timothy Trippel, Kang G. Shin, Kevin B. Bush, Matthew Hicks An Extensible Framework for Quantifying the Coverage of Defenses Against Untrusted Foundries. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
29Timothy Trippel, Kang G. Shin, Kevin B. Bush, Matthew Hicks Defensive Routing: a Preventive Layout-Level Defense Against Untrusted Foundries. Search on Bibsonomy CoRR The full citation details ... 2019 DBLP  BibTeX  RDF
29Amin Rezaei 0001, Jie Gu 0001, Hi Zhou Hybrid Memristor-CMOS Obfuscation Against Untrusted Foundries. Search on Bibsonomy ISVLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
29Robert B. Allen, Yoonhwan Kim Semantic Modeling with Foundries. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
29Andy R. K. Chang, Yu-Ling Chen, Po-Yu Chou, Yen-Zhou Huang, Hung-Chang Hsiao, Tsung-Ting Hsieh, Michael Hsu, Chia-Chee Lee, Hsin-Yin Lee, Yun-Chi Shih, Wei-An Shih, Chien-Hsiang Tang, Chia-Ping Tsai, Kuan-Po Tseng The Case of Big Data Platform Services for Semiconductor Wafer Fabrication Foundries. Search on Bibsonomy ICTC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
29Chia-Ping Tsai, Hung-Chang Hsiao, Yu-Chang Chao, Michael Hsu, Andy R. K. Chang Bridging the Gap between Big Data System Software Stack and Applications: The Case of Semiconductor Wafer Fabrication Foundries. Search on Bibsonomy IEEE BigData The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
29Victor Manuel Hernandez Bennetts, Erik Schaffernicht, Achim J. Lilienthal, Han Fan, Tomasz Piotr Kucner, Lena Andersson, Anders Johansson Towards occupational health improvement in foundries through dense dust and pollution monitoring using a complementary approach with mobile and stationary sensing nodes. Search on Bibsonomy IROS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
29Gian Luigi Gragnani, Claudio Montecucco, Luca Casella Active-RFID system operating in heavy environmental conditions to aid the production cycle of bentonite-coal dust mixtures for foundries. Search on Bibsonomy ICMCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
29Vasily Bunakov, Tom Griffin, Brian Matthews, Stefano Cozzini Metadata for Experiments in Nanoscience Foundries. Search on Bibsonomy DAMDID/RCDL (Selected Papers) The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
29Cinzia Giannetti, Meghana R. Ransing, Rajesh S. Ransing, David C. Bould, David T. Gethin, Johann Sienz Organisational Knowledge Management for Defect Reduction and Sustainable Development in Foundries. Search on Bibsonomy Int. J. Knowl. Syst. Sci. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Bernd Dreier, Florian Blas, Alexander Kostgeld Data Acquisition in Cast Iron Foundries by Image Analysis. Search on Bibsonomy VISAPP (3) The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
29Victor Claudio Bento de Camargo, Leandro Mattiolli, Franklina Maria Bragion Toledo A knapsack problem as a tool to solve the production planning problem in small foundries. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
29Javier Nieves, Igor Santos, Pablo García Bringas Combination of Machine-Learning Algorithms for Fault Prediction in High-Precision Foundries. Search on Bibsonomy DEXA (2) The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
29Rodolfo Florence Teixeira Jr., Flavio Cesar Faria Fernandes, Néocles Alves Pereira Binary integer programming formulations for scheduling in market-driven foundries. Search on Bibsonomy Comput. Ind. Eng. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
29Silvio A. de Araujo, Marcos Nereu Arenales, Alistair R. Clark Lot sizing and furnace scheduling in small foundries. Search on Bibsonomy Comput. Oper. Res. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Kazuhiko Terashima, Takanori Miyoshi, Yoshiyuki Noda Innovative Automation Technologies and IT Applications of the Metal Casting Process Necessary for the Foundries of the 21st Century. Search on Bibsonomy Int. J. Autom. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Jamil Kawa, Yuhua Cheng, Hiroshi Iwai, Richard Goering, Michael Campbell, Raul Camposano, Jon Fields, Patrick Lin, Steve Lloyd, Joseph Sawicki, Ed Wan Foundries, EDA vendors, and designers: who shoulders the blame when a design doesn't work in the nano-scale and wireless era? Search on Bibsonomy CICC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Jean-Michel Karam, Bernard Courtois, Hicham Boutamine CAD Tools for Bridging Microsystems and Foundries. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Martin Rüttgers Design of a Method for Machine Scheduling for Core Blowers in Foundries. Search on Bibsonomy Fuzzy Days The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Jean-Michel Karam, Bernard Courtois, Hicham Boutamine, P. Drake, András Poppe, Vladimír Székely, Márta Rencz, Klaus Hofmann, Manfred Glesner CAD and Foundries for Microsystems. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Jean-Michel Karam, Bernard Courtois, M. Bauge High level CAD melds microsystems with foundries. Search on Bibsonomy ED&TC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Nagaraj Ns, Juan C. Rey, Jamil Kawa, Robert C. Aitken, Christian Lütkemeyer, Vijay Pitchumani, Andrzej J. Strojwas, Steve Trimberger Who solves the variability problem? Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF IC variability
16Huang-Yu Chen, Szu-Jui Chou, Sheng-Lung Wang, Yao-Wen Chang A Novel Wire-Density-Driven Full-Chip Routing System for CMP Variation Control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Michael Nelson 0002, Ani Nahapetian, Farinaz Koushanfar, Miodrag Potkonjak SVD-Based Ghost Circuitry Detection. Search on Bibsonomy Information Hiding The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Hardware Trojan horses, gate characterization, singular value decomposition, manufacturing variability
16Lang Lin, Markus Kasper, Tim Güneysu, Christof Paar, Wayne P. Burleson Trojan Side-Channels: Lightweight Hardware Trojans through Side-Channel Engineering. Search on Bibsonomy CHES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Trojan Hardware, Trojan Side-Channel, Hardware Trojan Detection, Covert Channel, Side-Channel Analysis
16Miodrag Potkonjak, Ani Nahapetian, Michael Nelson 0002, Tammara Massey Hardware Trojan horse detection using gate-level characterization. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Hardware Trojan horses, gate-level characterization, linear programming, manufacturing variability
16Huang-Yu Chen, Mei-Fang Chiang, Yao-Wen Chang, Lumdo Chen, Brian Han Full-Chip Routing Considering Double-Via Insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Monica Figueiredo, Rui L. Aguiar A Study on CMOS Time Uncertainty with Technology Scaling. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Uncertainty, Noise, CMOS, Scaling, Jitter
16Jarrod A. Roy, Farinaz Koushanfar, Igor L. Markov EPIC: Ending Piracy of Integrated Circuits. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Xiaoxiao Wang 0001, Mohammad Tehranipoor, Jim Plusquellic Detecting Malicious Inclusions in Secure Hardware: Challenges and Solutions. Search on Bibsonomy HOST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Mainak Banga, Michael S. Hsiao A Region Based Approach for the Identification of Hardware Trojans. Search on Bibsonomy HOST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Xiaoxiao Wang 0001, Hassan Salmani, Mohammad Tehranipoor, James F. Plusquellic Hardware Trojan Detection and Isolation Using Current Integration and Localized Current Analysis. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Kwangok Jeong, Andrew B. Kahng, Kambiz Samadi Quantified Impacts of Guardband Reduction on Design Process Outcomes. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Guardband, chip size, yield, runtime, wirelength, design iterations
16Juan C. Rey, N. S. Nagaraj, Andrew B. Kahng, Fabian Klass, Rob Aitken, Cliff Hou, Luigi Capodieci, Vivek Singh DFM in practice: hit or hype? Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF critical area analysis, CMP, yield, DFM, OPC, lithography
16Huang-Yu Chen, Szu-Jui Chou, Sheng-Lung Wang, Yao-Wen Chang Novel wire density driven full-chip routing for CMP variation control. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Olga Golubeva, Mirko Loghi, Enrico Macii, Massimo Poncino Locality-driven architectural cache sub-banking for leakage energy reduction. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF banked cache, memory hierarchy, leakage reduction, architectural optimization
16Petter Solding, Patrik Thollander Increased energy efficiency in a Swedish iron foundry through use of discrete event simulation. Search on Bibsonomy WSC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Lei-Ting Chen, David Lin, Dan Muuniz, Chia-Jiu Wang Wafer Yield Estimation Using Support Vector Machines. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Andrew B. Kahng, Swamy Muddu, Puneet Sharma Impact of Gate-Length Biasing on Threshold-Voltage Selection. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Karen Chow The Challenges and Impact of Parasitic Extraction at 65 nm. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Cheng-Wen Wu Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Huang-Yu Chen, Mei-Fang Chiang, Yao-Wen Chang, Lumdo Chen, Brian Han Novel full-chip gridless routing considering double-via insertion. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant via insertion, routing, manufacturability
16Thomas Hartung, Jim Kupec, Ana Hunter, Brad Paulsen, Felicia James, Nick Yu How will the fabless model survive? Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fabless, foundry, DFM, business
16Jianwen Zhu, Fang Fang, Qianying Tang Calligrapher: a new layout-migration engine for hard intellectual property libraries. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Sean D. Cochrane, Keith Case, Robert I. M. Young, Jenny A. Harding, Samir Dani Knowledge Sharing Between Design and Manufacture. Search on Bibsonomy KES (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Thomas L. Sterling Towards Memory Oriented Scalable Computer Architecture and High Efficiency Petaflops Computing. Search on Bibsonomy NPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Fang Fang, Jianwen Zhu Calligrapher: A New Layout Migration Engine Based on Geometric Closeness. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16David Murfett The Challenge of Testing RFID Integrated Circuits . Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Keh-Jeng Chang Accurate On-Chip Variation Modeling to Achieve Design for Manufacturability. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Shishpal Rawat, William H. Joyner Jr., John A. Darringer, Daniel Gajski, Pat O. Pistilli, Hugo De Man, Carl Harris, James Solomon Were the good old days all that good?: EDA then and now. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Antonio Luque Estepa, José Manuel Quero Reboul, C. Hibert, Philippe Flückiger Fabrication process for a microfluidic valve. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Kalyanmoy Deb, Abbadi Raji Reddy Large-Scale Scheduling of Casting Sequences Using a Customized Genetic Algorithm. Search on Bibsonomy Artificial Evolution The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Vipin Kumar, Franz-Josef Pfreundt, Hans Burkhard, José M. Laginha M. Palma Applications on High Performance Computers. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Jennifer Blain Christen, Cristina E. Davis, Min Li, Andreas G. Andreou Design, double sided post-processing, and packaging of CMOS compatible bio-MEMS device arrays. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Daniel Ragan, Peter Sandborn, Paul Stoaks A detailed cost model for concurrent use with hardware/software co-design. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cost-performance trade-off, cost modeling
16Shahid Masud, John V. McCanny Design of Silicon IP Cores for Biorthogonal Wavelet Transforms. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF rapid design, FPGA, synthesis, system-on-a-chip, dsp
16Medhat Karam, Wael Fikry, Hisham Haddara, Hani F. Ragai Implementation of hot-carrier reliability simulation in Eldo. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Philippe Maurine, Mustapha Rezzoug, Daniel Auvergne Internal Power Dissipation Modeling and Minimization for Submicronic CMOS Design. Search on Bibsonomy PATMOS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16John Kibarian Ramping New IC Products in the Deep Submicron Age. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Stephan Ohr, Rob A. Rutenbar, Henry Chang, Georges G. E. Gielen, Rudolf Koch, Roy McGuffin, K. C. Murphy Survival strategies for mixed-signal systems-on-chip (panel session). Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Andrew B. Kahng, Gabriel Robins, Anish Singh, Alexander Zelikovsky Filling algorithms and analyses for layout density control. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Edoardo Charbon, Ilhami Torunoglu Copyright protection of designs based on multi source IPs. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Darko Kirovski, Miodrag Potkonjak Localized watermarking: methodology and application to operation scheduling. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Andrew B. Kahng, Gabriel Robins, Anish Singh, Huijuan Wang, Alexander Zelikovsky Filling and slotting: analysis and algorithms. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16A. Richard Newton Technical Challenges of IP and System-on-Chip: The ASIC Vendor Perspective (Panel). Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16John P. Eurich A tutorial introduction to the electronic design interchange format (tutorial session). Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
16John P. Gray, John Hunter Portability in silicon CAE. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #76 of 76 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license