The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase latency-tolerance (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-1997 (17) 1998-2001 (17) 2002-2004 (23) 2005-2008 (19) 2009-2018 (15) 2022 (1)
Publication types (Num. hits)
article(23) inproceedings(66) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 131 occurrences of 94 keywords

Results
Found 92 publication records. Showing 92 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
62Shashank S. Nemawarkar, Guang R. Gao Latency Tolerance: A Metric for Performance Analysis of Multithreaded Architectures. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF performance analysis metric, multithreaded multiprocessor systems, tolerance index, program workload parameters, fine grain parallel program workloads, parallel architectures, multithreaded architectures, latency tolerance, closed queueing networks, analytical framework
42Joan-Manuel Parcerisa, Antonio González 0001 Improving Latency Tolerance of Multithreading through Decoupling. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Access/execute decoupling, instruction-level parallelism, simultaneous multithreading, latency hiding, hardware complexity
36Bo Liang, Hong An, Fang Lu, Rui Guo Improving Latency Tolerance of Network Processors Through Simultaneous Multithreading. Search on Bibsonomy APPT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Onur Mutlu, Hyesoon Kim, Yale N. Patt Efficient Runahead Execution: Power-Efficient Memory Latency Tolerance. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory latency tolerance, processors, Runahead execution
33Alvin R. Lebeck, Tong Li 0003, Eric Rotenberg, Jinson Koppanalil, Jaidev P. Patwardhan A Large, Fast Instruction Window for Tolerating Cache Misses. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Cache Memory, Memory Latency, Latency Tolerance, Instruction Window
32Jarek Nieplocha, Vinod Tipparaju, Manojkumar Krishnan, Gopalakrishnan Santhanaraman, Dhabaleswar K. Panda 0001 Optimizing Mechanisms for Latency Tolerance in Remote Memory Access Communication on Clusters. Search on Bibsonomy CLUSTER The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Todd C. Mowry, Chi-Keung Luk Predicting Data Cache Misses in Non-Numeric Applications through Correlation Profiling. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cache miss prediction, non-numeric applications, profiling, correlation, latency tolerance
26Ronald D. Barnes, Shane Ryoo, Wen-mei W. Hwu Tolerating Cache-Miss Latency with Multipass Pipelines. Search on Bibsonomy IEEE Micro The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Flea-flicker, multipass pipelining, memory-latency tolerance, in-order design
24Raquel Pinto, Ricardo Bianchini, Claudio Luis de Amorim Comparing Latency-Tolerance Techniques for Software DSM Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF performance, Distributed systems
24Perry H. Wang, Hong Wang 0003, Jamison D. Collins, Ed Grochowski, Ralph-Michael Kling, John Paul Shen Memory Latency-Tolerance Approaches for Itanium Processors: Out-of-Order Execution vs. Speculative Precomputation. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Satyanarayana Nekkalapu, Haitham Akkary, Komal Jothi, Renjith Retnamma, Xiaoyu Song A simple latency tolerant processor. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Dongkeun Kim, Donald Yeung Design and evaluation of compiler algorithms for pre-execution. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Chen Ding, Ken Kennedy The Memory Bandwidth Bottleneck and its Amelioration by a Compiler. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF memory performance model, array reduction, store elimination, memory hierarchy, compiler optimizations, Memory bandwidth, loop fusion
19Seif Haridi, Peter Van Roy, Per Brand, Michael Mehl, Ralf Scheidhauer, Gert Smolka Efficient logic variables for distributed computing. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Mozart, distributed algorithms, Oz
18Haitham Akkary, Komal Jothi, Renjith Retnamma, Satyanarayana Nekkalapu, Doug Hall, Shahrokh Shahidzadeh On the potential of latency tolerant execution in speculative multithreading. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-tolerant architectures, chip multiprocessors, speculative multithreading, many-core processors
18Dongkeun Kim, Donald Yeung A study of source-level compiler algorithms for automatic construction of pre-execution code. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pre-execution, prefetch conversion, speculative loop parallelization, multithreading, program slicing, Data prefetching, memory-level parallelism
18Andrew D. Hilton, Santosh Nagarakatte, Amir Roth iCFP: Tolerating All-Level Cache Misses in In-Order Processors. Search on Bibsonomy IEEE Micro The full citation details ... 2010 DBLP  DOI  BibTeX  RDF in-order processors, data cache misses, multithreaded execution, latency tolerance
18Jacob Sorensen, Scott B. Baden Hiding Communication Latency with Non-SPMD, Graph-Based Execution. Search on Bibsonomy ICCS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF non-SPMD, coarse grain dataflow, parallel programming, latency tolerance
18Parry Husbands, Katherine A. Yelick Multi-threading and one-sided communication in parallel LU factorization. Search on Bibsonomy SC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multithreading, latency tolerance, dense linear algebra
18Jordi Cortadella, Michael Kishinevsky, Bill Grundmann Synthesis of synchronous elastic architectures. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF protocols, synthesis, latency-tolerance, latency-insensitive design
18Michel Dubois 0001 Fighting the memory wall with assisted execution. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prefetching, cache memories, superscalar processors, simultaneous multithreading, latency tolerance
18Srikanth T. Srinivasan, Ravi Rajwar, Haitham Akkary, Amit Gandhi, Michael Upton Continual flow pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CFP, non-blocking, latency tolerance, instruction window
18Thilo Kielmann, Henri E. Bal, Sergei Gorlatch Bandwidth-Efficient Collective Communication for Clustered Wide Area Systems. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Models for Parallel Computers, Optimization, Grid Computing, Interconnection Networks, MPI, Performance Modeling, Cluster Computing, Runtime Support, Latency Tolerance
18Peter Van Roy, Seif Haridi, Per Brand, Gert Smolka, Michael Mehl, Ralf Scheidhauer Mobile Objects in Distributed Oz. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF network transparency, mobile objects, latency tolerance
18William W. Pugh, Evan Rosser Iteration Space Slicing and Its Application to Communication Optimization. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1997 DBLP  DOI  BibTeX  RDF message coalescing, program slicing, communication optimization, latency tolerance
18Fredrik Dahlgren, Per Stenström Evaluation of Hardware-Based Stride and Sequential Prefetching in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Hardware-controlled prefetching, relaxed memory consistency, performance evaluation, shared-memory multiprocessors, latency tolerance
18Luddy Harrison Examination of a Memory Access Classification Scheme for Pointer-Intensive and Numeric Programs. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CPU architecture, instruction profiling, memory access pattern classification, memory latency tolerance, data cache
18Fredrik Dahlgren, Michel Dubois 0001, Per Stenström Sequential Hardware Prefetching in Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hardware-controlled prefetching, sequential prefetching, performance evaluation, shared-memory multiprocessors, memory consistency models, latency tolerance
18John G. Cleary, Murray Pearson, Husam Kinawi The architecture of an optimistic CPU: the WarpEngine. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimistic CPU, WarpEngine, shared memory CPU, single instructions, memory latency tolerance, executable instructions, TimeWarp algorithm, optimistic, single linear address space, single thread of control, reliability, caches, parallel architectures, fault tolerant computing, concurrency control, synchronisation, synchronisation, shared memory systems, memory architecture, cache storage, memory system, memory model, time stamped, memory accesses, local memory
17Marcelo Orenes-Vera, Aninda Manocha, Jonathan Balkind, Fei Gao 0016, Juan L. Aragón, David Wentzlaff, Margaret Martonosi Tiny but mighty: designing and realizing scalable latency tolerance for manycore SoCs. Search on Bibsonomy ISCA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Rachata Ausavarungnirun, Saugata Ghose, Onur Kayiran, Gabriel H. Loh, Chita R. Das, Mahmut T. Kandemir, Onur Mutlu Holistic Management of the GPGPU Memory Hierarchy to Manage Warp-level Latency Tolerance. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
17Victor Eijkhout Task Graph Transformations for Latency Tolerance. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
17Akhil Arunkumar, Shin-Ying Lee, Vignesh Soundararajan, Carole-Jean Wu LATTE-CC: Latency Tolerance Aware Adaptive Cache Compression Management for Energy Efficient GPUs. Search on Bibsonomy HPCA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Sharanyan Srikanthan, Sandhya Dwarkadas, Kai Shen Coherence Stalls or Latency Tolerance: Informed CPU Scheduling for Socket and Core Sharing. Search on Bibsonomy USENIX Annual Technical Conference The full citation details ... 2016 DBLP  BibTeX  RDF
17Youngsam Shin, Seok Joong Hwang, Jae Don Lee, Won-Jong Lee, Soojung Ryu Latency tolerance techniques for real-time ray tracing on mobile computing platform. Search on Bibsonomy SIGGRAPH Asia Mobile Graphics and Interactive Applications The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Neal Clayton Crago, Omid Azizi, Steven S. Lumetta, Sanjay J. Patel Hybrid latency tolerance for robust energy-efficiency on 1000-core data parallel processors. Search on Bibsonomy HPCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17David Alexander Munday Energy Efficient Memory Speculation With Memory Latency Tolerance Supporting Sequential Consistency Without A Coherence Protocol. Search on Bibsonomy 2013   RDF
17Muhammad Irfan Uddin, Chris R. Jesshope, Michiel W. van Tol, Raphael Poss Collecting signatures to model latency tolerance in high-level simulations of microthreaded cores. Search on Bibsonomy RAPIDO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Chien-Ping Lu, Brian Ko Latency tolerance for Throughput Computing: Designer track. Search on Bibsonomy ICCAD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Neal Clayton Crago Energy-efficient latency tolerance for 1000-core data parallel processors with decoupled strands Search on Bibsonomy 2012   RDF
17Neal Clayton Crago, Sanjay J. Patel OUTRIDER: efficient memory latency tolerance with decoupled strands. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ashok Srinivasan, Namas Chandra Latency tolerance through parallelization of time in scientific applications. Search on Bibsonomy Parallel Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Srikanth T. Srinivasan, Ravi Rajwar, Haitham Akkary, Amit Gandhi, Michael Upton Continual Flow Pipelines: Achieving Resource-Efficient Latency Tolerance. Search on Bibsonomy IEEE Micro The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jarek Nieplocha, Vinod Tipparaju, Manojkumar Krishnan, Gopalakrishnan Santhanaraman, Dhabaleswar K. Panda 0001 Optimisation and performance evaluation of mechanisms for latency tolerance in remote memory access communication on clusters. Search on Bibsonomy Int. J. High Perform. Comput. Netw. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Teemu Mäki-Patola, Perttu Hämäläinen Latency Tolerance for Gesture Controlled Continuous Sound Instrument without Tactile Feedback. Search on Bibsonomy ICMC The full citation details ... 2004 DBLP  BibTeX  RDF
17Ashok Srinivasan, Namas Chandra Latency Tolerance through Parallelization of Time in Scientific Applications. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Srikanth T. Srinivasan, Alvin R. Lebeck Load Latency Tolerance in Dynamically Scheduled Processors. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 1999 DBLP  BibTeX  RDF
17Brian R. Fisk, R. Iris Bahar The Non-Critical Buffer: Using Load Latency Tolerance to Improve Data Cache Efficiency. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Algorithms, Architecture, Caches
17Srikanth T. Srinivasan, Alvin R. Lebeck Load Latency Tolerance in Dynamically Scheduled Processors. Search on Bibsonomy MICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Todd C. Mowry, Charles Q. C. Chan, Adley K. W. Lo Comparative Evaluation of Latency Tolerance Techniques for Software Distributed Shared Memory. Search on Bibsonomy HPCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Charles Selvidge Compilation-based prefetching for memory latency tolerance. Search on Bibsonomy 1992   RDF
16Huiyang Zhou A case for fault tolerance and performance enhancement using chip multi-processors. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Vijay S. Pai, Sarita V. Adve Comparing and Combining Read Miss Clustering and Software Prefetching. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Costas Kyriacou, Paraskevas Evripidou Communication Assist for Data Driven Multithreading. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Youngsoo Shin, Kiyoung Choi Software synthesis through task decomposition by dependency analysis. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CDFG, scheduler, dependency, C, VHDL, thread, Software synthesis
15Onur Mutlu, Jared Stark, Chris Wilkerson, Yale N. Patt Runahead Execution: An Alternative to Very Large Instruction Windows for Out-of-Order Processors. Search on Bibsonomy HPCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Vijay S. Pai, Parthasarathy Ranganathan, Hazim Abdel-Shafi, Sarita V. Adve The Impact of Exploiting Instruction-Level Parallelism on Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF performance evaluation, instruction-level parallelism, Shared-memory multiprocessors, software prefetching
15Joan-Manuel Parcerisa, Antonio González 0001 The Synergy of Multithreading and Access/Execute Decoupling. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Naraig Manjikian Combining Loop Fusion with Prefetching on Shared-memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Cache locality enhancement, Compilers, Prefetching, Shared-memory multiprocessors, Loop transformations
15Koray Öner, Michel Dubois 0001 Effects of Memory Latencies on Non-Blocking Processor/Cache Architectures. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1993 DBLP  DOI  BibTeX  RDF SPARC
11George Chin Jr., Andrès Márquez, Sutanay Choudhury, Kristyn J. Maschhoff Implementing and evaluating multithreaded triad census algorithms on the Cray XMT. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Farshad Ahdi, Vikram Srinivasan, Kee Chaing Chua Topology Control for Delay Sensitive Applications in Wireless Sensor Networks. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF delay sensitive applications, wireless sensor networks, topology control
11Gregory A. Koenig, Laxmikant V. Kalé Optimizing Distributed Application Performance Using Dynamic Grid Topology-Aware Load Balancing. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Todd C. Mowry, Chi-Keung Luk Understanding Why Correlation Profiling Improves the Predictability of Data Cache Misses in Nonnumeric Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cache miss prediction, correlation-based profiling, Cache performance
11Yuetsu Kodama, Hirofumi Sakane, Hanpei Koike, Mitsuhisa Sato, Shuichi Sakai, Yoshinori Yamaguchi Parallel Execution of Radix Sort Program Using Fine-Grain Communication. Search on Bibsonomy IEEE PACT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fine-grain communication, parallel radix sort, performance scalability, EM-X
11Yuetsu Kodama, Hirohumi Sakane, Mitsuhisa Sato, Hayato Yamana, Shuichi Sakai, Yoshinori Yamaguchi The EM-X Parallel Computer: Architecture and Basic Performance. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
8Eiman Ebrahimi, Onur Mutlu, Chang Joo Lee, Yale N. Patt Coordinated control of multiple prefetchers in multi-core systems. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF prefetching, multi-core, feedback control, memory systems
8Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Comparative evaluation of memory models for chip multiprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF streaming memory, parallel programming, Chip multiprocessors, cache coherence, locality optimizations
8Rajendra Singh, Peter Graham Performance Driven Partial Checkpoint/Migrate for LAM-MPI. Search on Bibsonomy HPCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Grids, MPI, Cluster Computing, Checkpoint, Migration
8Kevin D. Kissell MIPS MT: A Multithreaded RISC Architecture for Embedded Real-Time Processing. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Abhishek Das, William J. Dally Stream Scheduling: A Framework to Manage Bulk Operations in a Memory Hierarchy. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
8Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis Comparing memory systems for chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF streaming memory, parallel programming, chip multiprocessors, locality optimizations, coherent caches
8Guangming Tan, Shengzhong Feng, Ninghui Sun Biology - Locality and parallelism optimization for dynamic programming algorithm in bioinformatics. Search on Bibsonomy SC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF parallelism, dynamic programming, locality, tiling, cache-oblivious
8Guilherme Ottoni, Ram Rangan, Adam Stoler, David I. August Automatic Thread Extraction with Decoupled Software Pipelining. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Ashok Srinivasan, Yanan Yu, Namas Chandra Application of Reduce Order Modeling to Time Parallelization. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
8Seungryul Choi, Nicholas Kohout, Sumit Pamnani, Dongkeun Kim, Donald Yeung A general framework for prefetch scheduling in linked data structures and its application to multi-chain prefetching. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory parallelism, pointer-chasing code, Data prefetching
8Alfredo Cristóbal-Salas, Andrey Chernykh, Edelmira Rodríguez-Alcantar, Jean-Luc Gaudiot Exploiting Single-Assignment Properties to Optimize Message-Passing Programs by Code Transformations. Search on Bibsonomy IFL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Keita Teranishi, Padma Raghavan Parallel Hybrid Sparse Solvers Through Flexible Incomplete Cholesky Preconditioning. Search on Bibsonomy PARA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
8Naohiko Shimizu, Ken Takatori A transparent Linux super page kernel for Alpha, Sparc64 and IA32: reducing TLB misses of applications. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Linux
8Adeline Jacquet, Vincent Janot, Clement Leung, Guang R. Gao, Ramaswamy Govindarajan, Thomas L. Sterling An Executable Analytical Performance Evaluation Approach for Early Performance Prediction. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Chris R. Jesshope Multi-threaded Microprocessors - Evolution or Revolution. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Paramjit S. Oberoi, Gurindar S. Sohi Parallelism in the Front-End. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Jack J. Dongarra High Performance Computing, Computational Grid, and Numerical Libraries. Search on Bibsonomy PARA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Amir Roth, Gurindar S. Sohi A quantitative framework for automated pre-execution thread selection. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8James C. Phillips, Gengbin Zheng, Sameer Kumar 0001, Laxmikant V. Kalé NAMD: biomolecular simulation on thousands of processors. Search on Bibsonomy SC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
8Nicholas Kohout, Seungryul Choi, Dongkeun Kim, Donald Yeung Multi-Chain Prefetching: Effective Exploitation of Inter-Chain Memory Parallelism for Pointer-Chasing Codes. Search on Bibsonomy IEEE PACT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
8José Nelson Amaral, Guang R. Gao, Erturk Dogan Kocalar, Patrick O'Neill, Xinan Tang Design and Implementation of an Efficient Thread Partitioning Algorithm. Search on Bibsonomy ISHPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
8Craig B. Zilles, Joel S. Emer, Gurindar S. Sohi The Use of Multithreading for Exception Handling. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
8Jack L. Lo, Luiz André Barroso, Susan J. Eggers, Kourosh Gharachorloo, Henry M. Levy, Sujay S. Parekh An Analysis of Database Workload Performance on Simultaneous Multithreaded Processors. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
8Fong Pong, Michel Dubois 0001 Formal Verification of Delayed Consistency Protocols. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
8Doug Burger, James R. Goodman, Alain Kägi Memory Bandwidth Limitations of Future Microprocessors. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
8Anant Agarwal, Ricardo Bianchini, David Chaiken, Kirk L. Johnson, David A. Kranz, John Kubiatowicz, Beng-Hong Lim, Kenneth Mackenzie, Donald Yeung The MIT Alewife Machine: Architecture and Performance. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #92 of 92 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license