The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for lowpower with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2006 (16) 2007-2023 (8)
Publication types (Num. hits)
article(3) inproceedings(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 21 occurrences of 19 keywords

Results
Found 24 publication records. Showing 24 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
36Sreejyothsna Ankam, N. Sudhakar Reddy A mechanism to detecting flooding attacks in quantum enabled cloud-based lowpower and lossy networks. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
36Gangadhar Reddy Ramireddy, J. V. R. Ravindra, Harikrishna Kamatham Design of Ultra Lowpower Full Adder Using Modified Branch Based Logic Style. Search on Bibsonomy EMS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
36Ilkka Hautala, Jani Boutellier, Jari Hannuksela Programmable lowpower implementation of the HEVC Adaptive Loop Filter. Search on Bibsonomy ICASSP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
36Hong-Hui Chen, Tung-Chien Chen, Cheng-Yi Chiang, Liang-Gee Chen Power estimation scheme for lowpower oriented biomedical SoC extended to very deep submicron technology. Search on Bibsonomy ICASSP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
36Praveen K. Yenduri, Anna C. Gilbert, Michael P. Flynn, Shahrzad Naraghi Rand PPM: A lowpower compressive sampling analog to digital converter. Search on Bibsonomy ICASSP The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
36Konstantinos Anagnostopoulos, George Economakos Lowpower design of multipliers using a full-adder isolation technique. Search on Bibsonomy ICECS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Ayuko Takagi, Kiyoshi Nishikawa, Hitoshi Kiya Low-bit motion estimation with edge enhanced images for lowpower MPEG encoder. Search on Bibsonomy ISCAS (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Finbarr O'Regan, Conor Heneghan A Low Power Algorithm for Sparse System Identification using Cross-Correlation. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sparse systems, low power digital signal processing, lowpower hardware, adaptive filter, system identification
33Ravindra Jejurikar, Rajesh K. Gupta 0001 Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF critical speed, lowpower, procrastication, resource standby energy, real-time systems, DVS, EDF scheduling
21Juanjo Noguera, Robert Esser, Katarina Paulsson, Michael Hübner 0001, Jürgen Becker 0001 Towards Novel Approaches in Design Automation for FPGA Power Optimization. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
21Carlos Fernández, Rajkumar K. Raval, Chris J. Bleakley GALS SoC interconnect bus for wireless sensor network processor platforms. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SoC bus, application specific bus, system on chip bus, WSN, wireless sensor network, low power, GALS
21Andrew B. Kahng, Sherief Reda, Puneet Sharma On-Line Adjustable Buffering for Runtime Power Reduction. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Gaurav Mathur, Peter Desnoyers, Deepak Ganesan, Prashant J. Shenoy Capsule: an energy-optimized object storage system for memory-constrained sensor devices. Search on Bibsonomy SenSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor network, embedded systems, energy efficiency, file system, objects, flash memory, storage system
21Wei Ye 0003, Fabio Silva, John S. Heidemann Ultra-low duty cycle MAC with scheduled channel polling. Search on Bibsonomy SenSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ultra-low duty cycle, scheduling, wireless sensor networks, energy efficiency, medium access control
21Shi-lei Yan, Jian-wei Sun Implementation and Optimization of H.264/AVC Encoder on Blackfin (ADSP-BF537) Processor. Search on Bibsonomy CIMCA/IAWTIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21He Yan, Jianyun Hu, Li Qiang, Hao Min Design of Low-power Baseband-processor for RFID Tag. Search on Bibsonomy SAINT Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Rajesh Vivekanandham, Bharadwaj S. Amrutur, R. Govindarajan A scalable low power issue queue for large instruction window processors. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF complexity-effective architecture, wakeup logic, low-power architecture, issue logic
21Wai-Chi Fang, Tsung-Hsien Lin Low-Power Radio Design for Wireless Smart Sensor Networks. Search on Bibsonomy IIH-MSP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Praveen Parvathala High Level Test Generation / SW based Embedded Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Dmitri Maslov, Gerhard W. Dueck, D. Michael Miller Fredkin/Toffoli Templates for Reversible Logic Synthesis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Ansgar Stammermann, Domenik Helms, Milan Schulte, Arne Schulz, Wolfgang Nebel Binding, Allocation and Floorplanning in Low Power High-Level Synthesis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Trevor W. Fox, Alex Carreira, Laurence E. Turner The Design of Low-Power Fixed-Point FIR Differentiator IP Blocks. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Josef Fenk, Peter Sehrig Low-noise, low-voltage, low-power IF gain controlled amplifiers for wireless communication. Search on Bibsonomy Wirel. Networks The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
21Enrico Macii, Massoud Pedram, Fabio Somenzi High-Level Power Modeling, Estimation, and Optimization. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #24 of 24 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license