The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for multiplexors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1969-1999 (17) 2002-2010 (16)
Publication types (Num. hits)
article(10) inproceedings(23)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 25 occurrences of 23 keywords

Results
Found 33 publication records. Showing 33 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
53Ahmed Aslam, Kenneth J. Christensen Parallel Packet Switching Using Multiplexors with Virtual Input Queues. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
52Gopal Paul, Ajit Pal, Bhargab B. Bhattacharya On finding the minimum test set of a BDD-based circuit. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF binary decision diagram (BDD), multiplexors, network flow, stuck-at faults, VLSI testing
33David J. Greaves, M. J. Nam Synthesis of Glue Logic, Transactors, Multiplexors and Serialisors from Protocol Specifications. Search on Bibsonomy FDL The full citation details ... 2010 DBLP  BibTeX  RDF
33Ahmed Aslam, Kenneth J. Christensen A parallel packet switch with multiplexors containing virtual input queues. Search on Bibsonomy Comput. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Aleksandr Yu. Privalov, Khosrow Sohraby Per-stream jitter analysis in CBR ATM multiplexors. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cell delay variation, cell-based networks, periodic arrivals, ATM, jitter, asymptotic analysis, statistical multiplexing
33Aleksandr Yu. Privalov, Khosrow Sohraby Per-Stream Jitter Analysis in CBR ATM Multiplexors. Search on Bibsonomy INFOCOM The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Ikhlaq Sidhu, Scott Jordan Multiplexing gains in bit stream multiplexors. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
33John Eric Baldeschwieler, Thomas Gutekunst, Bernhard Plattner A survey of X protocol multiplexors. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
33Kurt Geihs Analytische und simulative Untersuchung eines Multiplexors mit Time-Out. Search on Bibsonomy MMB The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
33Wesley W. Chu Demultiplexing Considerations for Statistical Multiplexors. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
33Wesley W. Chu Demultiplexing considerations for statistical multiplexors. Search on Bibsonomy Symposium on Problems in the Optimizations of Data Communications Systems The full citation details ... 1971 DBLP  DOI  BibTeX  RDF
33Wesley W. Chu Design considerations of statistical multiplexors. Search on Bibsonomy Symposium on Problems in the Optimization of Data Communications Systems The full citation details ... 1969 DBLP  DOI  BibTeX  RDF
33Douglas Stott Parker Jr. Combinatorial Merging and Huffman's Algorithm. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1979 DBLP  DOI  BibTeX  RDF R?nyi entropy., Huffman tree construction, multiplexors, noiseless coding theorem, Bounds
19Sani R. Nassif, Kevin J. Nowka Physical design challenges beyond the 22nm node. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF technology, scaling
19Javier Carretero, Pedro Chaparro, Xavier Vera, Jaume Abella 0001, Antonio González 0001 End-to-end register data-flow continuous self-test. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF end-to-end protection, online testing, degradation, design errors, control logic
19Jason Cong, Wei Jiang Pattern-based behavior synthesis for FPGA resource reduction. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, pattern, behavior synthesis
19Timothy Kam, Michael Kishinevsky, Jordi Cortadella, Marc Galceran Oms Correct-by-construction microarchitectural pipelining. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hua Li, Jianzhou Li A New Compact Architecture for AES with Optimized ShiftRows Operation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Jelena Trajkovic, Mehrdad Reshadi, Bita Gorjiara, Daniel Gajski A Graph Based Algorithm for Data Path Optimization in Custom Processors. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Jason Cong, Yiping Fan, Wei Jiang Platform-based resource binding using a distributed register-file microarchitecture. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF distributed register file, behavior synthesis, resource binding
19Maher Ali Routing of 40-Gb/s Streams in Wavelength-Routed Heterogeneous Optical Networks. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin A Statistical Sampler for a New On-Line Analog Test Method. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DSP-based testing, on-line testing, analog test
19Markus Hütter, Holger Bock, Michael Scheppler A New Reconfigurable Architecture for Single Cycle Context Switching. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin A Statistical Sampler for a New On-line Analog Test Method. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Michael Gössel, Egor S. Sogomonyan, Adit D. Singh Scan-Path with Directly Duplicated and Inverted Duplicated Registers. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Dhananjay S. Phatak, Israel Koren Intermediate Variable Encodings that Enable Multiplexor-Based Implementations of Two Operand Addition. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Joerg Abke, Erich Barke, Jörn Stohmann A Universal Module Generator for LUT-Based FPGAs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multiplexor, Multiplexor Structure, FPGA, Technology Mapping, Module Generator
19A. Ramaswamy A standard target decoder model for MPEG-4 FlexMux streams. Search on Bibsonomy ISCAS (4) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Srinivas Katkoori, Ranga Vemuri Accurate Resource Estimation Algorithms for Behavioral Synthesis. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Subhrajit Bhattacharya, Sujit Dey, Bhaskar Sengupta An RTL methodology to enable low overhead combinational testing. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Renu Mehra, Lisa M. Guerra, Jan M. Rabaey Low-power architectural synthesis and the impact of exploiting locality. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Yung-Ming Fang, D. F. Wong 0001 Multiplexor Network Generation in High Level Synthesis. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF High Level Synthesis
19Richard E. Brown The Kiewit network: a large Apple Talk internetwork. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #33 of 33 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license