The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase power-awareness (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001-2004 (15) 2005-2007 (18) 2008-2015 (16) 2016-2023 (7)
Publication types (Num. hits)
article(14) incollection(1) inproceedings(40) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 83 occurrences of 57 keywords

Results
Found 56 publication records. Showing 56 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
48Manish Bhardwaj, Rex Min, Anantha P. Chandrakasan Quantifying and enhancing power awareness of VLSI systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Roni Rosner, Yoav Almog, Micha Moffie, Naftali Schwartz, Avi Mendelson PARROT: Power Awareness Through Selective Dynamically Optimized Traces. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Jia Di, Jiann-Shiun Yuan Power-aware pipelined multiplier design based on 2-dimensional pipeline gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 2-D pipeline gating, power-awareness, array multiplier
34Joseph Chabarek, Joel Sommers, Paul Barford, Cristian Estan, David Tsiang, Stephen J. Wright 0001 Power Awareness in Network Design and Routing. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Roni Rosner, Yoav Almog, Micha Moffie, Naftali Schwartz, Avi Mendelson Power Awareness through Selective Dynamically Optimized Traces. Search on Bibsonomy ISCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25W. K. Chan 0001, Tsong Yueh Chen, S. C. Cheung 0001, T. H. Tse, Zhenyu Zhang 0004 Towards the Testing of Power-Aware Software Applications for Wireless Sensor Networks. Search on Bibsonomy Ada-Europe The full citation details ... 2007 DBLP  DOI  BibTeX  RDF WSN application, Wireless sensor network, software testing, power awareness, test automation, test oracle, metamorphic testing
25Jieh-Hwang Yen, Lan-Rong Dung, Chi-Yuan Shen Design of power-aware multiplier with graceful quality-power trade-offs. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ahmed S. Nagy, Amr A. El-Kadi, Mikhail N. Mikhail Measuring network performance of swarm power aware routing protocol for MANETs. Search on Bibsonomy ISCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Jeongseon Euh, Jeevan Chittamuru, Wayne P. Burleson Power-Aware 3D Computer Graphics Rendering. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low-power, reconfigurable, texture mapping, 3D Graphics, shading
14Dacian Tudor, Marius Marcu Designing a power efficiency framework for battery powered systems. Search on Bibsonomy SYSTOR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF battery powered devices, energy efficiency, power-awareness, design constraints
14Weifa Liang Approximate Minimum-Energy Multicasting in Wireless Ad Hoc Networks. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wireless communication network, energy consumption optimization, minimum node-weighted Steiner tree problem, ad hoc networks, approximation algorithm, multicasting, broadcasting, power awareness
14Weifa Liang, Xiaoxing Guo Online Multicasting for Network Capacity Maximization in Energy-Constrained Ad Hoc Networks. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wireless communication network, energy consumption optimization, ad hoc networks, approximation algorithm, multicasting, broadcasting, network lifetime, power awareness
14Paul A. Griffin, Witawas Srisa-an, J. Morris Chang On designing a low-power garbage collector for java embedded devices: a case study. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Java, embedded systems, garbage collection, power awareness
14Prithviraj Dasgupta, Azad H. Azadmanesh Security and Survivability in Mobile Agent Based Distributed Systems: Minitrack Introduction. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF energy conversation optimization, ad hoc networks, approximate algorithm, Wireless network, power awareness
14N. Venkateswaran 0002, V. Barath Kumar, R. Raghavan, R. Srinivas, S. Subramanian, V. Balaji, Venkataraman Mahalingam, T. L. Rajaprabhu Crosstalk Fault Tolerant Processor Architecture - A Power Aware Design. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Cross-talk fault, Berger encoding, Adaptive Huffman compression, Memory refetches, Power awareness
14Weifa Liang Constructing minimum-energy broadcast trees in wireless ad hoc networks. Search on Bibsonomy MobiHoc The full citation details ... 2002 DBLP  DOI  BibTeX  RDF broadcast and multicast algorithm, energy consumption optimization, ad hoc networks, approximate algorithm, wireless network, power awareness
14Rex Min, Anantha P. Chandrakasan A framework for energy-scalable communication in high-density wireless networks. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ?AMPS, distributed microsensors, energy scalability, transmit power, wireless sensor networks, dynamic voltage scaling, forward error correction, power awareness, macromodels, energy models, API design
14Gerald Kaefer, Josef Haid, Bernd Hofer, Gerhard Schall, Reinhold Weiss Framework for Power Aware Remote Processing: Design and Implementation of a Dynamic Power Estimation Unit. Search on Bibsonomy ISWC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF remote processing, wireless communication, power estimation, power awareness, code migration
14Thomas L. Martin, Daniel P. Siewiorek, Asim Smailagic, Matthew Bosworth, Matthew Ettus, Jolin M. Warren A case study of a system-level approach to power-aware computing. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF battery properties, Power-aware, handheld computers, energy-aware, dynamic power management, multihop wireless network
14Liwei Guo, Tiantu Xu, Mengwei Xu, Xuanzhe Liu, Felix Xiaozhu Lin Power sandbox: power awareness redefined. Search on Bibsonomy EuroSys The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
12Ahmed S. Nagy, Amr El-Kadi, Mikhail N. Mikhail Swarm Congestion & Power Aware Routing Protocol for MANETs. Search on Bibsonomy CNSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Power Routing, Ad Hoc Networks, Mobile, Swarm
12Sune Fallgaard Nielsen, Jan Madsen Power Constrained High-Level Synthesis of Battery Powered Digital Systems. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Christos P. Antonopoulos, Evangelos Topalis, Aggeliki S. Prayati, Spilios Giannoulis, Antonis Athanasopoulos, Stavros A. Koubias Framework Design Supporting QoS-Power Trade-Offs for Heterogeneous Networked Systems. Search on Bibsonomy NBiS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interoperability framework, 802.11x, heterogeneous networked systems, QoS support
11Anita Patil, Sridhar Iyer Dynamic Spectrum Assignment Techniques based on Power Awareness for 6G Wireless Systems. Search on Bibsonomy ANTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
11Nurit Spingarn-Eliezer, Ron Banner, Hilla Ben-Yaacov, Elad Hoffer, Tomer Michaeli Power Awareness in Low Precision Neural Networks. Search on Bibsonomy ECCV Workshops (7) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
11P. Anagnostou, Andres Gomez 0001, Pascal A. Hager, Hamed Fatemi, José Pineda de Gyvez, Lothar Thiele, Luca Benini Energy and power awareness in hardware schedulers for energy harvesting IoT SoCs. Search on Bibsonomy Integr. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
11Matteo Ferroni, Juan A. Colmenares, Steven A. Hofmeyr, John Kubiatowicz, Marco D. Santambrogio Enabling power-awareness for the Xen hypervisor. Search on Bibsonomy SIGBED Rev. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
11Matteo Ferroni Enabling power-awareness for multi-tenant systems. Search on Bibsonomy 2017   RDF
11Matteo Ferroni, Juan A. Colmenares, Steven A. Hofmeyr, John Kubiatowicz, Marco D. Santambrogio Enabling Power-Awareness for the Xen Hypervisor. Search on Bibsonomy EWiLi The full citation details ... 2016 DBLP  BibTeX  RDF
11Soumaia Al Ayyat, Khaled A. Harras, Sherif G. Aly 0001 On the integration of interest and power awareness in social-aware opportunistic forwarding algorithms. Search on Bibsonomy Comput. Commun. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
11Marco D. Santambrogio, José L. Ayala, Simone Campanoni, Riccardo Cattaneo, Gianluca C. Durelli, Matteo Ferroni, Alessandro Antonio Nacci, Josué Pagán, Marina Zapater, Mónica Vallejo Power-awareness and smart-resource management in embedded computing systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
11Soumaia Al Ayyat, Sherif G. Aly 0001, Khaled A. Harras PIPeR: Impact of power-awareness on social-based opportunistic advertising. Search on Bibsonomy WCNC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
11Giampaolo Bella, Gianpiero Costantino, Jon Crowcroft, Salvatore Riccobene Enhancing DSR maintenance with power awareness. Search on Bibsonomy Comput. Stand. Interfaces The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
11Benoît Garbinato, Denis Rochat, Marco Tomassini, François Vessaz Injecting power-awareness into epidemic information dissemination in sensor networks. Search on Bibsonomy Future Gener. Comput. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
11Wei Zha, Sang Hun Eo, Byeong-Seob You, Dong-Wook Lee, Hae-Young Bae OMSI-Tree: Power-Awareness Query Processing over Sensor Networks by Removing Overlapping Regions. Search on Bibsonomy APWeb/WAIM Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Reza Zamani, Ahmad Afsahi, Ying Qian, V. Carl Hamacher A feasibility analysis of power-awareness and energy minimization in modern interconnects for high-performance computing. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jia Di, Jiann-Shiun Yuan, Ronald F. DeMara Improving power-awareness of pipelined array multipliers using two-dimensional pipeline gating and its application on FIR design. Search on Bibsonomy Integr. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Chung-Hsing Hsu, Wu-chun Feng A Feasibility Analysis of Power Awareness in Commodity-Based High-Performance Clusters. Search on Bibsonomy CLUSTER The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Abdelsalam Helal, Ahmed Abukmail Power Awareness and Management Techniques. Search on Bibsonomy Mobile Computing Handbook The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Nagendra Bhargava Bharatula, Paul Lukowicz, Gerhard Tröster Functionality-power-packaging considerations in context aware wearable systems. Search on Bibsonomy Pers. Ubiquitous Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Electronic packaging, Wearable computing, Gesture, Functionality, Context recognition, Miniaturization
9Hsin-hung Lin, Chih-wen Hsueh Applying pinwheel scheduling and compiler profiling for power-aware real-time scheduling. Search on Bibsonomy Real Time Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Power-aware real-time scheduling, Pinwheel model, Embedded systems, Profiling, Dynamic voltage scaling
9Hsin-hung Lin, Chih-wen Hsueh Power-Aware Real-Time Scheduling Using Pinwheel Model and Profiling Technique. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Jolin M. Warren, Thomas L. Martin, Asim Smailagic, Daniel P. Siewiorek System Design Approach To Power Aware Mobile Computers. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
8Erdal Cayirci Deployed sensor networks and their security challenges in practice. Search on Bibsonomy SIN The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sensor, actuator, secure routing
8Julio A. de Oliveira Filho, Ana Bunoza, Jürgen Sommer, Wolfgang Rosenstiel Self-Localization in a Low Cost Bluetooth Environment. Search on Bibsonomy UIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
8Nagendra Bhargava Bharatula, Urs Anliker, Paul Lukowicz, Gerhard Tröster Architectural Tradeoffs in Wearable Systems. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
8Peng Rong, Massoud Pedram Hierarchical power management with application to scheduling. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CTMDP, hierarchical power management, application scheduling
6Narate Taerat, Nichamon Naksinehaboon, Clayton Chandler, James Elliott, Chokchai Leangsuksun, George Ostrouchov, Stephen L. Scott, Christian Engelmann Blue Gene/L Log Analysis and Time to Interrupt Estimation. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
6Erdal Cayirci Sensor Network Applications Implemented by Industry and Their Security Challenges. Search on Bibsonomy ATC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Da-Ren Chen, Shu-Ming Hsieh, Ming-Fong Lai Efficient Algorithms for Jitterless Real-Time Tasks to DVS Schedules. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
6Jerzy Konorski Capacity-Fairness Performance of an Ad Hoc IEEE 802.11 WLAN with Noncooperative Stations. Search on Bibsonomy Networking The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Igor Talzi, Andreas Hasler, Stephan Gruber, Christian F. Tschudin PermaSense: investigating permafrost with a WSN in the Swiss Alps. Search on Bibsonomy EmNets The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Ivan Stojmenovic Localized network layer protocols in wireless sensor networks based on optimizing cost over progress ratio. Search on Bibsonomy IEEE Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
6Jian-Jia Chen, Tei-Wei Kuo Allocation cost minimization for periodic hard real-time tasks in energy-constrained DVS systems. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiprocessor synthesis, real-time systems, dynamic voltage scaling, task scheduling, energy-aware systems, task partitioning
6Wu Jigang, Thambipillai Srikanthan Finding High Performance Solution in Reconfigurable Mesh-Connected VLSI Arrays. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Degradable VLSI array, fault-tolerance, reconfiguration, NP-completeness, heuristic algorithm
6Osman S. Unsal, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz The Minimax Cache: An Energy-Efficient Framework for Media Processors. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF media-sensitive caching, compiler- architecture interaction, energy-efficient architectures
Displaying result #1 - #56 of 56 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license