The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase pre-execution (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2004 (15) 2005-2010 (16) 2012-2022 (7)
Publication types (Num. hits)
article(9) inproceedings(28) phdthesis(1)
Venues (Conferences, Journals, ...)
HPCA(3) IEICE Trans. Inf. Syst.(2) IPDPS(2) ISCA(2) MICRO(2) ACM Trans. Comput. Syst.(1) ASPLOS(1) CC(1) CGO(1) Clust. Comput.(1) DEXA(1) eScience(1) FCST(1) HiPC(1) ICCD(1) ICPP Workshops(1) More (+10 of total 31)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 12 occurrences of 11 keywords

Results
Found 38 publication records. Showing 38 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
82Dongkeun Kim, Donald Yeung A study of source-level compiler algorithms for automatic construction of pre-execution code. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pre-execution, prefetch conversion, speculative loop parallelization, multithreading, program slicing, Data prefetching, memory-level parallelism
54Vlad Petric, Amir Roth Energy-Effectiveness of Pre-Execution and Energy-Aware P-Thread Selection. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
54Dongkeun Kim, Donald Yeung Design and evaluation of compiler algorithms for pre-execution. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
46Chi-Keung Luk Tolerating memory latency through software-controlled pre-execution in simultaneous multithreading processors. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
40Amir Roth, Gurindar S. Sohi A quantitative framework for automated pre-execution thread selection. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Won Woo Ro, Jean-Luc Gaudiot SPEAR: A Hybrid Model for Speculative Pre-Execution. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Yong Chen 0001, Surendra Byna, Xian-He Sun, Rajeev Thakur, William Gropp Hiding I/O latency with pre-execution prefetching for parallel applications. Search on Bibsonomy SC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Juan Fang, Hongbo Zhang Analysis and Improvement of Dynamic Multi-core Hardware Prefetch Technology Based on Pre-execution. Search on Bibsonomy FCST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-core prefetch, pre-execution based prefetching, coherency of cache, CMP, multicore architecture
30Won Woo Ro, Jean-Luc Gaudiot Compiler Support for Dynamic Speculative Pre-Execution. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Won Woo Ro, Jean-Luc Gaudiot A Low-Complexity Issue Queue Design with Speculative Pre-execution. Search on Bibsonomy HiPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Won Woo Ro, Jean-Luc Gaudiot, Stephen P. Crago, Alvin M. Despain HiDISC: A Decoupled Architecture for Data-Intensive Application. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Memory access latency and Speculative pre-execution, Data prefetching, Data-intensive applications, Decoupled architecture
21Akihiro Yamamoto, Yusuke Tanaka 0001, Hideki Ando, Toshio Shimada Data prefetching and address pre-calculation through instruction pre-execution with two-step physical register deallocation. Search on Bibsonomy MEDEA@PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Sanyam Mehta, Gary Elsesser, Terry Greyzck Software pre-execution for irregular memory accesses in the HBM era. Search on Bibsonomy CC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
14Mustafa Cavus, Mohammed Shatnawi, Resit Sendag, Augustus K. Uht Exploring Prefetching, Pre-Execution and Branch Outcome Streaming for In-Memory Database Lookups. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
14Keunsoo Kim, Sangpil Lee, Myung Kuk Yoon, Gunjae Koo, Won Woo Ro, Murali Annavaram Warped-preexecution: A GPU pre-execution approach for improving latency hiding. Search on Bibsonomy HPCA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
14Yue Zhao 0014, Kenji Yoshigoe, Mengjun Xie Pre-execution data prefetching with I/O scheduling. Search on Bibsonomy J. Supercomput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Hamid Fadishei, Hossein Deldari, Mahmoud Naghibzadeh Pre-execution power consumption prediction of computational multithreaded workloads. Search on Bibsonomy Clust. Comput. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Yue Zhao 0014, Kenji Yoshigoe, Mengjun Xie Pre-execution Data Prefetching with Inter-thread I/O Scheduling. Search on Bibsonomy ISC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Ákos Dudás, Sándor Juhász, Tamás Schrádi Software Controlled Adaptive Pre-Execution for Data Prefetching. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
14Yusuke Tanaka 0001, Hideki Ando Register File Size Reduction through Instruction Pre-Execution Incorporating Value Prediction. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
14Kazunaga Hyodo, Kengo Iwamoto, Hideki Ando Energy-Efficient Pre-Execution Techniques in Two-Step Physical Register Deallocation. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Yusuke Tanaka 0001, Hideki Ando Reducing register file size through instruction pre-execution enhanced by value prediction. Search on Bibsonomy ICCD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Won Woo Ro, Jean-Luc Gaudiot A low-complexity microprocessor design with speculative pre-execution. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Klaus Peter Fischer, Udo Bleimann, Steven Furnell Pre-execution Security Policy Assessment of Remotely Defined BPEL-Based Grid Processes. Search on Bibsonomy TrustBus The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Business Process Execution Language (BPEL), Grid Processes, Security Policy Enforcement, Virtual Organizations, Grid Services, Information Flow Analysis
14Won Woo Ro, Jean-Luc Gaudiot Speculative pre-execution assisted by compiler (SPEAR). Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Dongkeun Kim Compiler-Based Pre-Execution. Search on Bibsonomy 2004   RDF
14Jie-Bing Yu, David J. DeWitt Query Pre-Execution and Batching in Paradise: A Two-Pronged Approach to the Efficient Processing of Queries on Tape-Resident Raster Images. Search on Bibsonomy SSDBM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Miquel Pericàs, Adrián Cristal, Rubén González 0001, Daniel A. Jiménez Chained In-Order/Out-of-Order DoubleCore Architecture. Search on Bibsonomy SBAC-PAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
13Dongkeun Kim, Shih-Wei Liao, Perry H. Wang, Juan del Cuvillo, Xinmin Tian, Xiang Zou, Hong Wang 0003, Donald Yeung, Milind Girkar, John Paul Shen Physical Experimentation with Prefetching Helper Threads on Intel's Hyper-Threaded Processors. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Onur Mutlu, Hyesoon Kim, Yale N. Patt Address-Value Delta (AVD) Prediction: Increasing the Effectiveness of Runahead Execution by Exploiting Regular Memory Allocation Patterns. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Vineet Sahula, C. P. Ravikumar The Hierarchical Concurrent Flow Graph Approach for Modeling and Analysis of Design Processes. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Tor M. Aamodt, Paul Chow, Per Hammarlund, Hong Wang 0003, John Paul Shen Hardware Support for Prescient Instruction Prefetch. Search on Bibsonomy HPCA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Amir Roth, Gurindar S. Sohi Speculative Data-Driven Multithreading. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
7Lican Huang Production Rule Based Selection Decision for Dynamic Flexible Workflow. Search on Bibsonomy eScience The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
7Takashi Nakada, Hiroshi Nakashima Design and Implementation of a High Speed Microprocessor Simulator BurstScalar. Search on Bibsonomy MASCOTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
7Gerti Kappel, Gerhard Kramler, Werner Retschitzegger TriGS Debugger - A Tool for Debugging Active Database Behavior. Search on Bibsonomy DEXA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
5Y. C. Ngeow, David Chieng, Azhar Kassim Mustapha, Erin Goh, Huat Kin Low Web-based Device Workflow Management Engine. Search on Bibsonomy MUE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
5B. Cirou, Marie Christine Counilh, Jean Roman Programming Irregular Scientific Algorithms with Static Properties on Clusters of SMP Nodes. Search on Bibsonomy ICPP Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #38 of 38 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license