|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2790 occurrences of 1382 keywords
|
|
|
Results
Found 6894 publication records. Showing 6894 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
90 | Shun Xiang Yang, Ying Nan Zuo, Jing Min Xu, Zhong Tian, Henry Chang, Liang-Jie Zhang, Tian Chao |
Adaptive Profiling Framework and System for Service Provisioning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CEC ![In: 2003 IEEE International Conference on Electronic Commerce (CEC 2003), 24-27 June 2003, Newport Beach, CA, USA, pp. 264-, 2003, IEEE Computer Society, 0-7695-1969-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
88 | Thomas Ball, James R. Larus |
Optimally Profiling and Tracing Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 16(4), pp. 1319-1360, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
instruction tracing, profiling, instrumentation, control-flow graph |
83 | J. Stan Cox, David P. Howell, Thomas M. Conte |
Commercializing profile-driven optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 221-228, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
profile-driven optimization, commercialisation, code-improving optimization, profile information, software vendors, system code, I/O drivers, AT&T Global Information Solutions, hardware-based profiling, profile system code, software business community, scheduling, compile, debugging, optimisation, profiling, program compilers, kernel, software performance evaluation, program debugging, program execution, optimising compilers, scheduling techniques |
81 | Todd C. Mowry, Chi-Keung Luk |
Understanding Why Correlation Profiling Improves the Predictability of Data Cache Misses in Nonnumeric Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 49(4), pp. 369-384, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
cache miss prediction, correlation-based profiling, Cache performance |
76 | Craig B. Zilles, Gurindar S. Sohi |
A Programmable Co-Processor for Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Seventh International Symposium on High-Performance Computer Architecture (HPCA'01), Nuevo Leone, Mexico, January 20-24, 2001, pp. 241-252, 2001, IEEE Computer Society, 0-7695-1019-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
74 | Manjiri A. Namjoshi, Prasad A. Kulkarni |
Novel online profiling for virtual machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 6th International Conference on Virtual Execution Environments, VEE 2010, Pittsburgh, Pennsylvania, USA, March 17-19, 2010, pp. 133-144, 2010, ACM, 978-1-60558-910-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
java, virtual machines, online profiling |
74 | Tarek F. Abdelzaher |
An Automated Profiling Subsystem for QoS-Aware Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: Proceedings of the Sixth IEEE Real Time Technology and Applications Symposium, RTAS 2000, Washington, DC, USA, May 31 - June 2, 2000, pp. 208-217, 2000, IEEE Computer Society, 0-7695-0713-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Automated Profiling, QoS, Web Servers |
72 | Youfeng Wu |
Efficient Discovery of Regular Stride Patterns in Irregular Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Berlin, Germany, June 17-19, 2002, pp. 210-221, 2002, ACM, 1-58113-463-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
integrated stride and frequency profiling, phased multi-strided loads, strongly single-strided loads, performance evaluation, data prefetching |
71 | Matthew F. Parkinson, Sri Parameswaran |
Profiling in the ASP codesign environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSS ![In: Proceedings of the 8th International Symposium on System Synthesis (ISSS 1995), September 13-15, 1995, Cannes, France, pp. 128-133, 1995, ACM, 0-89791-771-5. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
Automated Synthesis and Partitioning system, Hardware/Software Codesign project, codesign environment, hardware/software codesign methodology, high-level profiling tools, virtual machines, software tools, C, computer architecture, profiling, systems analysis, circuit CAD, workstation, ASP, C code, dedicated hardware, execution profiling |
68 | Garfield Zhiping Wu, Sylvia L. Osborn, Xin Jin |
Database Intrusion Detection Using Role Profiling with Role Hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Secure Data Management ![In: Secure Data Management, 6th VLDB Workshop, SDM 2009, Lyon, France, August 28, 2009. Proceedings, pp. 33-48, 2009, Springer, 978-3-642-04218-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Role profiling, Intrusion detection, RBAC, Database security, Insider threats |
68 | Youfeng Wu, Yong-Fong Lee |
Hardware-Software Collaborative Techniques for Runtime Profiling and Phase Transition Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 20(5), pp. 665-675, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
runtime profiling, phase transition detection, hardware-software collaboration, dynamic optimizations |
68 | Weiqun Zheng, Shamim Khan, Hong Xie |
BSP Pro: A Java-Based BSP Performance Profiling System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1999 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '99), 23-25 June 1999, Fremantle, Australia, pp. 54-61, 1999, IEEE Computer Society, 0-7695-0231-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Bulk Synchronous Parallel (BSP) model, Java, performance evaluation, load balancing, profiling, performance prediction, visualisation, cost model |
66 | Easwaran Raman, David I. August |
Recursive data structure profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Memory System Performance ![In: Proceedings of the 2005 workshop on Memory System Performance, Chicago, Illinois, USA, June 12, 2005, pp. 5-14, 2005, ACM, 1-59593-147-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
RDS, dynamic shape graph, list linearization, memory profiling, shape profiling |
63 | Thomas Ball, James R. Larus |
Efficient Path Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 46-57, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
61 | Trishul M. Chilimbi, Martin Hirzel |
Dynamic Hot Data Stream Prefetching for General-Purpose Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Berlin, Germany, June 17-19, 2002, pp. 199-209, 2002, ACM, 1-58113-463-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
data reference profiling, memory performance optimization, temporal profiling, prefetching, dynamic optimization, dynamic profiling |
61 | Trishul M. Chilimbi, Aditya V. Nori, Kapil Vaswani |
Quantifying the effectiveness of testing via efficient residual path profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC/SIGSOFT FSE ![In: Proceedings of the 6th joint meeting of the European Software Engineering Conference and the ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2007, Dubrovnik, Croatia, September 3-7, 2007, pp. 545-548, 2007, ACM, 978-1-59593-811-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
inter-procedural, testing, residual, path profiling |
61 | Walter Binder |
A Portable and Customizable Profiling Framework for Java Based on Bytecode Instruction Counting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APLAS ![In: Programming Languages and Systems, Third Asian Symposium, APLAS 2005, Tsukuba, Japan, November 2-5, 2005, Proceedings, pp. 178-194, 2005, Springer, 3-540-29735-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Java, program transformations, Profiling, JVM, bytecode instrumentation, dynamic metrics |
61 | Brad Calder, Peter Feller, Alan Eustace |
Value Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 259-269, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
profiling, invariance, compiler optimization |
56 | Dohun Kim, Jugwan Eom, Chanik Park |
L4oprof: a performance-monitoring-unit-based software-profiling framework for the L4 microkernel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 41(4), pp. 69-76, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
56 | Tong Chen 0010, Jin Lin, Xiaoru Dai, Wei-Chung Hsu, Pen-Chung Yew |
Data Dependence Profiling for Speculative Optimizations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 13th International Conference, CC 2004, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2004, Barcelona, Spain, March 29 - April 2, 2004, Proceedings, pp. 57-72, 2004, Springer, 3-540-21297-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
56 | Youfeng Wu, Yong-Fong Lee |
Exploiting Free Execution Slots on EPIC Processors for Efficient and Accurate Runtime Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, ACSAC 2004, Beijing, China, September 7-9, 2004, Proceedings, pp. 226-240, 2004, Springer, 3-540-23003-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
54 | Milan Jovic, Matthias Hauswirth |
Measuring the performance of interactive applications with listener latency profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPPJ ![In: Proceedings of the 6th International Symposium on Principles and Practice of Programming in Java, PPPJ 2008, Modena, Italy, September 9-11, 2008, pp. 137-146, 2008, ACM, 978-1-60558-223-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
listeners, GUI, profiling, latency |
54 | Sagnik Nandy, Xiaofeng Gao 0003, Jeanne Ferrante |
TFP: Time-Sensitive, Flow-Specific Profiling at Runtime. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 16th International Workshop, LCPC 2003, College Station, TX, USA, October 2-4, 2003, Revised Papers, pp. 32-47, 2003, Springer, 3-540-21199-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
run-time optimization, Profiling, dynamic compilation |
52 | Roman L. Lysecky, Susan Cotterell, Frank Vahid |
A fast on-chip profiler memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 39th Design Automation Conference, DAC 2002, New Orleans, LA, USA, June 10-14, 2002, pp. 28-33, 2002, ACM, 1-58113-461-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
embedded CAD, platform tuning, embedded systems, low power, profiling, system-on-a-chip, binary tree, adaptive architectures, memory design |
50 | Wim Schreurs, Mireille Hildebrandt, Els Kindt, Michaël Vanfleteren |
Cogitas, Ergo Sum. The Role of Data Protection Law and Non-discrimination Law in Group Profiling in the Private Sector. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 241-270, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Nils Leopold, Martin Meints |
Profiling in Employment Situations (Fraud). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 217-237, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Mireille Hildebrandt |
Profiling and the Identity of the European Citizen. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 303-343, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Lothar Fritsch |
Profiling and Location-Based Services (LBS). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 147-168, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Vassiliki Andronikou, Angelos Yannopoulos, Theodora A. Varvarigou |
Biometric Profiling: Opportunities and Risks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 131-145, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Mireille Hildebrandt |
Defining Profiling: A New Type of Knowledge? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 17-45, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Emmanuel Benoist |
Collecting Data for the Profiling of Web Users. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 169-184, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Thierry Nabeth |
User Profiling for Attention Support at School and Work. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 185-200, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Angelos Yannopoulos, Vassiliki Andronikou, Theodora A. Varvarigou |
Behavioural Biometric Profiling and Ambient Intelligence. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 89-109, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Roger Brownsword |
Knowing Me, Knowing You - Profiling, Privacy and the Public Interest. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 345-363, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Meike Kamp, Barbara Körffer, Martin Meints |
Profiling of Customers and Consumers - Customer Loyalty Programmes and Scoring Practices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 201-215, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Ana Isabel Canhoto, James Backhouse |
General Description of the Process of Behavioural Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 47-63, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Serge Gutwirth, Paul de Hert |
Regulating Profiling in a Democratic Constitutional State. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 271-302, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Bernhard Anrig, Will N. Browne, Mark Gasson |
The Role of Algorithms in Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 65-87, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Tipp Moseley, Alex Shye, Vijay Janapa Reddi, Dirk Grunwald, Ramesh Peri |
Shadow Profiling: Hiding Instrumentation Costs with Parallelism. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fifth International Symposium on Code Generation and Optimization (CGO 2007), 11-14 March 2007, San Jose, California, USA, pp. 198-208, 2007, IEEE Computer Society, 978-0-7695-2764-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Marc Hull, Olav Beckmann, Paul H. J. Kelly |
MEProf: modular extensible profiling for Eclipse. ![Search on Bibsonomy](Pics/bibsonomy.png) |
eTX ![In: Proceedings of the 2004 OOPSLA workshop on Eclipse Technology eXchange, ETX 2004, Vancouver, British Columbia, Canada, October 24, 2004, pp. 32-36, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
50 | Ziya Aral, Ilya Gertner |
Non-Intrusive and Interactive Profiling in Parasight. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPOPP/PPEALS ![In: Proceedings of the ACM/SIGPLAN PPEALS 1988, Parallel Programming: Experience with Applications, Languages and Systems, New Haven, Connecticut, USA, July 19-21, 1988, pp. 21-30, 1988, ACM, 0-89791-276-4. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
48 | Shashidhar Mysore, Banit Agrawal, Rodolfo Neuber, Timothy Sherwood, Nisheeth Shrivastava, Subhash Suri |
Formulating and implementing profiling over adaptive ranges. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 5(1), pp. 2:1-2:32, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Profiling hardware, range adaptive, value locality |
48 | Qin Zhao, Ioana Cutcutache, Weng-Fai Wong |
Pipa: pipelined profiling and analysis on multi-core systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Sixth International Symposium on Code Generation and Optimization (CGO 2008), April 5-9, 2008, Boston, MA, USA, pp. 185-194, 2008, ACM, 978-1-59593-978-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
parallel cache simulation, analysis, pipelining, profiling, dynamic instrumentation, multi-core systems |
48 | Priya Nagpurkar, Hussam Mousa, Chandra Krintz, Timothy Sherwood |
Efficient remote profiling for resource-constrained devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 3(1), pp. 35-66, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
phased behavior, sampling, Profiling, resource-constrained devices |
48 | Sebastian G. Elbaum, Madeline Diep |
Profiling Deployed Software: Assessing Strategies and Testing Opportunities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 31(4), pp. 312-327, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
testing, empirical studies, Profiling, instrumentation, software deployment |
48 | Walter Binder |
Portable, efficient, and accurate sampling profiling for java-based middleware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEM ![In: Proceedings of the 5th International Workshop on Software Engineering and Middleware, SEM 2005, Lisbon, Portugal, September 5-6, 2005, pp. 46-53, 2005, ACM. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
sampling profiling, Java, program transformations, JVM, bytecode instrumentation |
48 | Allen D. Malony, Sameer Shende |
Overhead Compensation in Performance Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2004 Parallel Processing, 10th International Euro-Par Conference, Pisa, Italy, August 31-September 3, 2004, Proceedings, pp. 119-132, 2004, Springer, 3-540-22924-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Performance measurement and analysis, overhead compensation, parallel computing, profiling, intrusion |
48 | Kameswari V. Garigipati, Cindy Norris |
Evaluating the use of profiling by a region-based register allocator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2002 ACM Symposium on Applied Computing (SAC), March 10-14, 2002, Madrid, Spain, pp. 953-957, 2002, ACM, 1-58113-445-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
region-based register allocation, profiling |
48 | Jeffry T. Russell, Margarida F. Jacome |
Scenario-based software characterization as a contingency to traditional program profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002, pp. 170-177, 2002, ACM, 1-58113-575-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
program profile, typical behavior, performance, embedded system, static analysis, constraint, profiling, scenario, control flow, predicate |
48 | Todd C. Mowry, Chi-Keung Luk |
Predicting Data Cache Misses in Non-Numeric Applications through Correlation Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 314-320, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
cache miss prediction, non-numeric applications, profiling, correlation, latency tolerance |
46 | Ann Gordon-Ross, Frank Vahid |
Frequent Loop Detection Using Efficient Nonintrusive On-Chip Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(10), pp. 1203-1215, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Frequent value profiling, runtime profiling, on-chip profiling, hardware profiling, frequent loop detection, hot spot detection, dynamic optimization |
46 | Ann Gordon-Ross, Frank Vahid |
Frequent loop detection using efficient non-intrusive on-chip hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2003, San Jose, California, USA, October 30 - November 1, 2003, pp. 117-124, 2003, ACM, 1-58113-676-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
frequent loop detection, frequent value profiling, hardware profiling, hot spot detection, on-chip profiling, runtime profiling, dynamic optimization |
44 | Patrick M. Sansom, Simon L. Peyton Jones |
Formally Based Profiling for Higher-Order Functional Languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 19(2), pp. 334-385, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
attribution of costs, cost centers, cost semantics, source-level profiling, space profiling, program transformation, execution profiling |
43 | Bill Howe, David Maier 0001, Nicolas Rayner, James Rucker |
Quarrying dataspaces: Schemaless profiling of unfamiliar information sources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE Workshops ![In: Proceedings of the 24th International Conference on Data Engineering Workshops, ICDE 2008, April 7-12, 2008, Cancún, Mexico, pp. 270-277, 2008, IEEE Computer Society, 978-1-4244-2161-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
43 | Hyesoon Kim, M. Aater Suleman, Onur Mutlu, Yale N. Patt |
2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), 26-29 March 2006, New York, New York, USA, pp. 159-172, 2006, IEEE Computer Society, 0-7695-2499-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
43 | Erez Perelman, Trishul M. Chilimbi, Brad Calder |
Variational Path Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 14th International Conference on Parallel Architectures and Compilation Techniques (PACT 2005), 17-21 September 2005, St. Louis, MO, USA, pp. 7-16, 2005, IEEE Computer Society, 0-7695-2429-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
43 | Willem Pieterson, Wolfgang Ebbers, Jan van Dijk |
The Opportunities and Barriers of User Profiling in the Public Sector. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EGOV ![In: Electronic Government: 4th International Conference, EGOV 2005, Copenhagen, Denmark, August 22-26, 2005, Proceedings, pp. 269-280, 2005, Springer, 3-540-28466-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
43 | Michael D. Bond, Kathryn S. McKinley |
Practical Path Profiling for Dynamic Optimizers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 3nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2005), 20-23 March 2005, San Jose, CA, USA, pp. 205-216, 2005, IEEE Computer Society, 0-7695-2298-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
43 | Danny Chiang Choon Poo, Brian Chng, Jie-Mein Goh |
A Hybrid Approach for User Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 36th Hawaii International Conference on System Sciences (HICSS-36 2003), CD-ROM / Abstracts Proceedings, January 6-9, 2003, Big Island, HI, USA, pp. 103, 2003, IEEE Computer Society, 0-7695-1874-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
43 | Scott A. Watterson, Saumya K. Debray |
Goal-Directed Value Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CC ![In: Compiler Construction, 10th International Conference, CC 2001 Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2001 Genova, Italy, April 2-6, 2001, Proceedings, pp. 319-333, 2001, Springer, 3-540-41861-X. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
41 | Philippe Moret, Walter Binder, Alex Villazón |
CCCP: complete calling context profiling in virtual execution environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PEPM ![In: Proceedings of the 2009 ACM SIGPLAN Symposium on Partial Evaluation and Semantics-based Program Manipulation, PEPM 2009, Savannah, GA, USA, January 19-20, 2009, pp. 151-160, 2009, ACM, 978-1-60558-327-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
calling context profiling, native code, java virtual machine, bytecode instrumentation |
41 | Svenja Schröder, Sabrina Ziebarth, Nils Malzahn, Heinz Ulrich Hoppe |
Self-profiling of Competences for the Digital Media Industry: An Exploratory Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EC-TEL ![In: Learning in the Synergy of Multiple Disciplines, 4th European Conference on Technology Enhanced Learning, EC-TEL 2009, Nice, France, September 29 - October 2, 2009, Proceedings, pp. 365-378, 2009, Springer, 978-3-642-04635-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
self-profiling, ontology, creativity, matching, competences |
41 | Surajit Chaudhuri, Vivek R. Narasayya, Manoj Syamala |
Bridging the application and DBMS divide using static analysis and dynamic profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the ACM SIGMOD International Conference on Management of Data, SIGMOD 2009, Providence, Rhode Island, USA, June 29 - July 2, 2009, pp. 1039-1042, 2009, ACM, 978-1-60558-551-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
static analysis, database application, dynamic profiling |
41 | Karthik Shankar, Roman L. Lysecky |
Non-intrusive dynamic application profiling for multitasked applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 130-135, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
dynamic hardware/software partitioning, profiling, dynamic optimizations, multitasking, real-time embedded systems |
41 | Lei Tang 0001, Huan Liu 0001, Jianping Zhang, Nitin Agarwal, John J. Salerno |
Topic taxonomy adaptation for group profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Knowl. Discov. Data ![In: ACM Trans. Knowl. Discov. Data 1(4), pp. 1:1-1:28, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Topic taxonomy, group interest, taxonomy adjustment, text hierarchical classification, dynamic profiling |
41 | Walter Binder, Alex Villazón, Martin Schoeberl, Philippe Moret |
Cache-aware cross-profiling for java processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 127-136, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cross-profiling, embedded java processors, platform-independent dynamic metrics, bytecode instrumentation |
41 | Kapil Vaswani, Aditya V. Nori, Trishul M. Chilimbi |
Preferential path profiling: compactly numbering interesting paths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: Proceedings of the 34th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, POPL 2007, Nice, France, January 17-19, 2007, pp. 351-362, 2007, ACM, 1-59593-575-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
preferential paths, profiling, dynamic analysis, arithmetic coding |
41 | Yan Ha, Roger Y. Lee |
Profiling system of XML multimedia documents based on UML Diagrams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SERA ![In: 5th ACIS International Conference on Software Engineering Research, Management & Applications (SERA 2007), August 20-22, 2007, Haeundae Grand Hotel, Busan, Korea, pp. 366-373, 2007, IEEE Computer Society, 0-7695-2867-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
XML profiling language, Multimedia, SMIL |
41 | Xipeng Shen, Michael L. Scott, Chengliang Zhang, Sandhya Dwarkadas, Chen Ding 0001, Mitsunori Ogihara |
Analysis of input-dependent program behavior using active profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Experimental Computer Science ![In: Proceedings of the Workshop on Experimental Computer Science, Part of ACM FCRC, San Diego, CA, USA, 13-14 June 2007, pp. 5, 2007, ACM, 978-1-59593-751-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
active profiling, program phase analysis and prediction, memory management, dynamic optimization |
41 | Hun-Jeong Kang, Zhi-Li Zhang, Supranamaya Ranjan, Antonio Nucci |
SIP-based VoIP traffic behavior profiling and its applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MineNet ![In: Proceedings of the 3rd Annual ACM Workshop on Mining Network Data, MineNet 2007, San Diego, California, USA, June 12, 2007, pp. 39-44, 2007, ACM, 978-1-59593-792-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
SIP, session initiation protocol, traffic profiling |
41 | Xiaotong Zhuang, Mauricio J. Serrano, Harold W. Cain, Jong-Deok Choi |
Accurate, efficient, and adaptive calling context profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2006 Conference on Programming Language Design and Implementation, Ottawa, Ontario, Canada, June 11-14, 2006, pp. 263-271, 2006, ACM, 1-59593-320-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
adaptive, profiling, java virtual machine, call graph, calling context, calling context tree |
41 | Robert J. Hall 0001 |
Aspect-Capable Call Path Profiling of Multi-Threaded Java Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Autom. Softw. Eng. ![In: Autom. Softw. Eng. 12(4), pp. 415-446, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
call path, optimization, performance, profiling, program understanding |
41 | Naveen Kumar 0002, Bruce R. Childers, Mary Lou Soffa |
Low overhead program monitoring and profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PASTE ![In: Proceedings of the 2005 ACM SIGPLAN-SIGSOFT Workshop on Program Analysis For Software Tools and Engineering, PASTE'05, Lisbon, Portugal, September 5-6, 2005, pp. 28-34, 2005, ACM, 1-59593-239-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
instrumentation optimization, profiling, dynamic instrumentation, dynamic binary translation |
41 | Sameer Shende, Allen D. Malony, Alan Morris, Felix Wolf 0001 |
Performance Profiling Overhead Compensation for MPI Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 12th European PVM/MPI Users' Group Meeting, Sorrento, Italy, September 18-21, 2005, Proceedings, pp. 359-367, 2005, Springer, 3-540-29009-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
overhead compensation, parallel computing, message passing, analysis, profiling, Performance measurement |
41 | Matthias Hauswirth, Amer Diwan, Peter F. Sweeney, Michael C. Mozer |
Automating vertical profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 20th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2005, October 16-20, 2005, San Diego, CA, USA, pp. 281-296, 2005, ACM, 1-59593-031-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
software performance monitors, whole-system analysis, perturbation, hardware performance monitors, vertical profiling |
41 | Allen D. Malony, Sameer Shende |
Models for On-the-Fly Compensation of Measurement Overhead in Parallel Performance Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2005, Parallel Processing, 11th International Euro-Par Conference, Lisbon, Portugal, August 30 - September 2, 2005, Proceedings, pp. 72-82, 2005, Springer, 3-540-28700-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Performance measurement and analysis, overhead compensation, parallel computing, profiling, intrusion |
41 | Stuart E. Middleton, Nigel Shadbolt, David De Roure |
Ontological user profiling in recommender systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Inf. Syst. ![In: ACM Trans. Inf. Syst. 22(1), pp. 54-88, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
ontology, machine learning, Agent, recommender systems, personalization, user modelling, user profiling |
41 | Sebastian G. Elbaum, Madeline Hardojo |
An empirical study of profiling strategies for released software and their impact on testing activities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the ACM/SIGSOFT International Symposium on Software Testing and Analysis, ISSTA 2004, Boston, Massachusetts, USA, July 11-14, 2004, pp. 65-75, 2004, ACM, 1-58113-820-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
testing, empirical studies, profiling, instrumentation, software deployment |
41 | Byoung-Tak Zhang, Jinsan Yang, Sung Wook Chi |
Self-Organizing Latent Lattice Models for Temporal Gene Expression Profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mach. Learn. ![In: Mach. Learn. 52(1-2), pp. 67-89, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
DNA-microarray data, correlated genes, temporal expression profiling, learning latent-variable models, visualization |
41 | Joan Fons, F. J. García, Vicente Pelechano, Oscar Pastor 0001 |
User Profiling Capabilities in OOWS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICWE ![In: Web Engineering, International Conference, ICWE 2003, Oviedo, Spain, July 14-18, 2003, Proceedings, pp. 486-496, 2003, Springer, 3-540-40522-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Adaptable web applications, Conceptual modelling, User profiling, Web Engineering, Personalisation |
41 | Taweesup Apiwattanapong, Mary Jean Harrold |
Selective path profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PASTE ![In: Proceedings of the 2002 ACM SIGPLAN-SIGSOFT Workshop on Program Analysis For Software Tools and Engineering, PASTE'02, Charleston, South Carolina, USA, November 18-19, 2002, pp. 35-42, 2002, ACM. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
static analysis, dynamic analysis, path profiling |
41 | Dongming Xu, Huaiqing Wang, Kaile Su |
Intelligent Student Profiling with Fuzzy Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 35th Hawaii International Conference on System Sciences (HICSS-35 2002), CD-ROM / Abstracts Proceedings, 7-10 January 2002, Big Island, HI, USA, pp. 81, 2002, IEEE Computer Society, 0-7695-1435-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Student profiling, Software agents, Fuzzy model, Web-based education |
41 | Mireille Hildebrandt, Serge Gutwirth |
Concise Conclusions: Citizens out of Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 365-368, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
41 | Simone van der Hof, Corien Prins |
Personalisation and its Influence on Identities, Behaviour and Social Values. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 111-127, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
41 | Mireille Hildebrandt, Serge Gutwirth |
General Introduction and Overview. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Profiling the European Citizen ![In: Profiling the European Citizen, Cross-Disciplinary Perspectives, pp. 1-13, 2008, Springer, 978-1-4020-6913-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Yuan Liu, Hong An, Bo Liang, Li Wang |
An Online Profile Guided Optimization Approach for Speculative Parallel Threading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 12th Asia-Pacific Conference, ACSAC 2007, Seoul, Korea, August 23-25, 2007, Proceedings, pp. 28-39, 2007, Springer, 978-3-540-74308-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
profile guided dynamic optimization, Thread-level parallelization |
40 | Jinwen Xi, Peixin Zhong |
A Transaction-Level NoC Simulation Platform with Architecture-Level Dynamic and Leakage Energy Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006, pp. 341-344, 2006, ACM, 1-59593-347-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
network-on-chip, SystemC, energy model |
39 | Aleksey Pesterev, Nickolai Zeldovich, Robert Tappan Morris |
Locating cache performance bottlenecks using data profiling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EuroSys ![In: European Conference on Computer Systems, Proceedings of the 5th European conference on Computer systems, EuroSys 2010, Paris, France, April 13-16, 2010, pp. 335-348, 2010, ACM, 978-1-60558-577-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
data profiling, debug registers, statistical profiling, cache misses |
39 | Jay K. Adams, John Alan Miller, Donald E. Thomas |
Execution-time profiling for multiple-process behavioral synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 144-149, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
execution-time profiling, multiple-process behavioral synthesis, back-annotating, source description, behavioral simulation model, annotated behavioral simulation, high level synthesis, high-level synthesis, timing, timing, logic CAD, digital simulation, circuit analysis computing, hardware design, software profiling, register-transfer level model |
39 | Rahul Joshi, Michael D. Bond, Craig B. Zilles |
Targeted Path Profiling: Lower Overhead Path Profiling for Staged Dynamic Optimization Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: 2nd IEEE / ACM International Symposium on Code Generation and Optimization (CGO 2004), 20-24 March 2004, San Jose, CA, USA, pp. 239-250, 2004, IEEE Computer Society, 0-7695-2102-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
39 | Shaun Kaneshiro, Tatsuya Shindo |
Profiling Optimized Code: A Profiling System for an HPF Compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPPS ![In: Proceedings of IPPS '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, USA, pp. 469-473, 1996, IEEE Computer Society, 0-8186-7255-2. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
38 | Harish Narayanappa, Mukul S. Bansal, Hridesh Rajan |
Property-aware program sampling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PASTE ![In: Proceedings of the 9th ACM SIGPLAN-SIGSOFT Workshop on Program Analysis for Software Tools and Engineering, PASTE'10, Toronto, Ontario, Canada, June 5-6, 2010, pp. 45-52, 2010, ACM, 978-1-4503-0082-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
property-aware monitoring, slice fragments, static analysis, sampling, profiling, program slicing, instrumentation |
38 | Ajay Nair, Roman L. Lysecky |
Non-intrusive dynamic application profiler for detailed loop execution characterization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 23-30, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
nonintrusive, embedded systems, profiling, dynamic optimization |
38 | John Steven, Pravir Chandra, Bob Fleck, Andy Podgurski |
jRapture: A Capture/Replay tool for observation-based testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSTA ![In: Proceedings of the International Symposium on Software Testing and Analysis, ISSTA 2000, Portland, OR, USA, August 21-24, 2000, pp. 158-167, 2000, ACM, 1-58113-266-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Java, Java, software testing, capture/replay, observation-based testing, execution profiling |
38 | Thomas M. Conte, Kishore N. Menezes, Mary Ann Hirsch |
Accurate and Practical Profile-driven Compilation Using the Profile Buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 29, Paris, France, December 2-4, 1996, pp. 36-45, 1996, ACM/IEEE Computer Society, 0-8186-7641-8. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
profile buffer, superblock scheduling, profiling, compiler optimization, instruction-level parallelism |
36 | Yuki Arase, Takahiro Hara, Shojiro Nishio |
User Profiling for Web Search Based on Biological Fluctuation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (3) ![In: Human-Computer Interaction. Ambient, Ubiquitous and Intelligent Interaction, 13th International Conference, HCI International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part III, pp. 239-247, 2009, Springer, 978-3-642-02579-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
biological fluctuation, Web search, User profile |
36 | Fabio Perez Marzullo, Rodrigo Novo Porto, Geraldo Zimbrão da Silva, Jano Moreira de Souza, José Roberto Blaschek |
An MDA Approach for Database Profiling and Performance Assessment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer and Information Science ![In: Computer and Information Science [outstanding papers from IEEE/ACIS ICIS/IWEA 2008], pp. 1-10, 2008, Springer, 978-3-540-79186-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Walter Binder, Martin Schoeberl, Philippe Moret, Alex Villazón |
Cross-Profiling for Embedded Java Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
QEST ![In: Fifth International Conference on the Quantitative Evaluaiton of Systems (QEST 2008), 14-17 September 2008, Saint-Malo, France, pp. 287-296, 2008, IEEE Computer Society, 978-0-7695-3360-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
36 | Karl Fürlinger, Michael Gerndt, Jack J. Dongarra |
On Using Incremental Profiling for the Performance Analysis of Shared Memory Parallel Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2007, Parallel Processing, 13th International Euro-Par Conference, Rennes, France, August 28-31, 2007, Proceedings, pp. 62-71, 2007, Springer, 978-3-540-74465-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
36 | Derek Rayside, Lucy Mendel |
Object ownership profiling: a technique for finding and fixing memory leaks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASE ![In: 22nd IEEE/ACM International Conference on Automated Software Engineering (ASE 2007), November 5-9, 2007, Atlanta, Georgia, USA, pp. 194-203, 2007, ACM, 978-1-59593-882-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
object ownership, java, memory leaks |
Displaying result #1 - #100 of 6894 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|