The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase self-resetting (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-2003 (15) 2004-2023 (14)
Publication types (Num. hits)
article(4) inproceedings(25)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3 occurrences of 3 keywords

Results
Found 29 publication records. Showing 29 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
92Abdel Ejnioui, Abdelhalim Alsharqawi Self-resetting stage logic pipelines. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clockless, self-resetting, pipeline, asynchronous
92Ayoob E. Dooply, Kenneth Y. Yun Optimal Clocking and Enhanced Testability for High-Performance Self-Resetting Domino Pipelines. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Self-resetting domino, time borrowing, roadblock, skew tolerance design-for-testability, scan register, multiple stuck fault
58Tiberiu Chelcea, Girish Venkataramani, Seth Copen Goldstein Self-Resetting Latches for Asynchronous Micro-Pipelines. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
58Abdel Ejnioui, Abdelhalim Alsharqawi Pipeline Design Based on Self-Resetting Stage Logic. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
58Abdel Ejnioui, Abdelhalim Alsharqawi Pipeline-Level Control of Self-Resetting Pipelines. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Abdelhalim Alsharqawi, Abdel Ejnioui Synthesis of Self-Resetting Stage Logic Pipelines. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Gunok Jung, Jun Jin Kong, Gerald E. Sobelman, Keshab K. Parhi High-speed add-compare-select units using locally self-resetting CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Gunok Jung, V. A. Sundarajan, Gerald E. Sobelman A robust self-resetting CMOS 32-bit parallel adder. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Vinod Narayanan, Barbara A. Chappell, Bruce M. Fleischer Static timing analysis for self resetting circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Abdelhalim Alsharqawi, Abdel Ejnioui Clockless Pipelining for Coarse Grain Datapaths. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34G. Privitera, Francesco Pessolano Analysis of High-Speed Logic Families. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Wendy Belluomini, Chris J. Myers, H. Peter Hofstee Verification of Delayed-Reset Domino Circuits Using ATACS. Search on Bibsonomy ASYNC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Wanlong Zhao, Yuejun Zhang, Mingze Ren, Liang Wen, Pengjun Wang A 7nm-Based Decodable Self-Resetting Regfile Circuit. Search on Bibsonomy ASICON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
24Ehad Akeila, Zoran Salcic, Akshya Swain Reducing Low-Cost INS Error Accumulation in Distance Estimation Using Self-Resetting. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
24Sunghyun Park 0002, Masood Qazi, Li-Shiuan Peh, Anantha P. Chandrakasan 40.4fJ/bit/mm low-swing on-chip signaling with self-resetting logic repeaters embedded within a mesh NoC in 45nm SOI CMOS. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
24Joo-Seong Kim, Bai-Sun Kong Self-Resetting Level-Conversion Flip-Flops with Direct Output Feedback for Dual-Supply SoCs. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Wei Hwang, George Diedrich Gristede, Pia N. Sanda, Shao Y. Wang, David F. Heidel Implementation of a self-resetting CMOS 64-bit parallel adder with enhanced testability. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Wei Hwang, Rajiv V. Joshi, Walter H. Henkels A 500-MHz, 32-word×64-bit, eight-port self-resetting CMOS register file. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Kenneth Y. Yun, Ayoob E. Dooply Optimal Evaluation Clocking of Self-Resetting Domino Pipelines. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Timothy G. Constandinou, Julius Georgiou, Chris Toumazou A micropower front-end interface for differential-capacitive sensor systems. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Suwen Yang, Mark R. Greenstreet Simulating Improbable Events. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Rashad S. Oreifej, Abdelhalim Alsharqawi, Abdel Ejnioui Synthesis of Pipelined SRSL Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xiaohua Kong, Radu Negulescu Bolstering Faith in GasP Circuits through Formal Verification. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Peter A. Beerel, Ken S. Stevens, Hoshik Kim Relative Timing Based Verification of Timed Circuits and Systems. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Relative Timing, Verification and Timed Circuits, Timing Constraints
17Ivan E. Sutherland, Scott Fairbanks GasP: A Minimal FIFO Control. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Chris J. Myers, Wendy Belluomini, Kip Kallpack, Eric Peskin, Hao Zheng 0001 Timed circuits: a new paradigm for high-speed design. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Tero Säntti, Jouni Isoaho Modified SRCMOS cell for high-throughput wave-pipelined arithmetic units. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Rajiv V. Joshi, Wei Hwang, Andreas Kuehlmann Design Of Provably Correct Storage Arrays. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Rajiv V. Joshi, Wei Hwang Design Considerations and Implementation of a High Performance Dynamic Register File. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #29 of 29 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license