|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2597 occurrences of 1723 keywords
|
|
|
Results
Found 5044 publication records. Showing 5044 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
73 | Hanane Fathi, Shyam S. Chakraborty, Ramjee Prasad |
Optimization of SIP Session Setup Delay for VoIP in 3G Wireless Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 5(9), pp. 1121-1132, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
IP-based wireless networks, session setup delay, RLP, signaling performance, TCP, SIP, UDP, H.323 |
73 | In-Jae Jeong |
An Entropy Based Group Setup Strategy for PCB Assembly. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (3) ![In: Computational Science and Its Applications - ICCSA 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part III, pp. 698-707, 2006, Springer, 3-540-34075-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Printed circuit board assembly, group setup, entropy method, similarity coefficient |
73 | V. Jorge Leon, In-Jae Jeong |
An Improved Group Setup Strategy for PCB Assembly. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (4) ![In: Computational Science and Its Applications - ICCSA 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part IV, pp. 312-321, 2005, Springer, 3-540-25863-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
PCB assembly, group setup, similarity coefficient |
62 | Ravinder Singh Joshi, Navdeep Kumar, Anju Sharma |
Setup Planning and Operation Sequencing Using Neural Network and Genetic Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Fifth International Conference on Information Technology: New Generations (ITNG 2008), 7-8 April 2008, Las Vegas, Nevada, USA, pp. 396-401, 2008, IEEE Computer Society, 978-0-7695-3099-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
CAPP, Setup planning, Operation sequencing, Genetic algorithm, Unsupervised learning |
51 | Safar Hatami, Hamed Abrishami, Massoud Pedram |
Statistical timing analysis of flip-flops considering codependent setup and hold times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 101-106, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
codependency, hold time, piecewise linear, statistical static timing analysis (SSTA), probability, process variations, setup time |
51 | Xianlin Liao, Yunhui Men, Linliang Zhao, Guangxin Wang |
Algorithm of Shortest-Path Gradients Setup for Directed Diffusion in WSN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIC (3) ![In: Advanced Intelligent Computing Theories and Applications. With Aspects of Contemporary Intelligent Computing Techniques, Third International Conference on Intelligent Computing, ICIC 2007, Qingdao, China, August 21-24, 2007. Proceedings, pp. 1295-1306, 2007, Springer, 978-3-540-74281-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Gradients Setup, Random Time Delay, Packet’s Forwarded Times, Wireless Sensor Network, Directed Diffusion |
50 | Gaoliang Peng, Wenjian Liu, Xutang Zhang |
An Internet-Based System for Setup Planning in Machining Operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 10th International Conference on Engineering of Complex Computer Systems (ICECCS 2005), 16-20 June 2005, Shanghai, China, pp. 245-251, 2005, IEEE Computer Society, 0-7695-2284-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
50 | Adam L. Young, Moti Yung |
Kleptography: Using Cryptography Against Cryptography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROCRYPT ![In: Advances in Cryptology - EUROCRYPT '97, International Conference on the Theory and Application of Cryptographic Techniques, Konstanz, Germany, May 11-15, 1997, Proceeding, pp. 62-74, 1997, Springer, 3-540-62975-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Cryptanalytic attacks, leakage bandwidth, design and manufacturing of cryptographic devices and software, black-box devices, SETUP mechanisms, RSA, randomness, information hiding, pseudorandomness, Diffie-Hellman, subliminal channels, kleptography, Discrete Log |
50 | Adam L. Young, Moti Yung |
The Prevalence of Kleptographic Attacks on Discrete-Log Based Cryptosystems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CRYPTO ![In: Advances in Cryptology - CRYPTO '97, 17th Annual International Cryptology Conference, Santa Barbara, California, USA, August 17-21, 1997, Proceedings, pp. 264-276, 1997, Springer, 3-540-63384-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
DSA signature, Menezes-Vanstone PKCS, Schnorr signature algorithm, protocol abuse, leakage-bandwidth, cryptographic system implementations, randomness, pseudorandomness, Diffie-Hellman, subliminal channels, kleptography, setup, ElGamal encryption, ElGamal signature, Discrete-Log |
45 | Monika Kofler, Stefan Wagner 0002, Andreas Beham, Gabriel Kronberger, Michael Affenzeller |
Priority Rule Generation with a Genetic Algorithm to Minimize Sequence Dependent Setup Costs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROCAST ![In: Computer Aided Systems Theory - EUROCAST 2009, 12th International Conference, Las Palmas de Gran Canaria, Spain, February 15-20, 2009, Revised Selected Papers, pp. 817-824, 2009, Springer, 978-3-642-04771-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
setup costs, genetic algorithms, scheduling, dispatching |
45 | Salim Chowdhury, John Lillis |
Repeater insertion for concurrent setup and hold time violations with power-delay trade-off. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2007 International Symposium on Physical Design, ISPD 2007, Austin, Texas, USA, March 18-21, 2007, pp. 59-66, 2007, ACM, 978-1-59593-613-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
early-mode timing, hold violation, late-mode timing, setup violation, timing optimization, repeater insertion |
45 | Eun-sook Lee, Kyu-seob Cho, Sung Kim |
A Point-to-Point Protocol Improvement to Reduce Data Call Setup Latency in Cdma2000 System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Networking ![In: NETWORKING 2006 - Networking Technologies, Services, and Protocols; Performance of Computer and Communication Networks; Mobile and Wireless Communications Systems, 5th International IFIP-TC6 Networking Conference, Coimbra, Portugal, May 15-19, 2006, Proceedings, pp. 966-977, 2006, Springer, 3-540-34192-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Simplified PPP, Call setup latency, Cdma2000 system, Wireless packet data service |
45 | C. T. Ng 0001, T. C. Edwin Cheng, Adam Janiak, Mikhail Y. Kovalyov |
Group Scheduling with Controllable Setup and Processing Times: Minimizing Total Weighted Completion Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 133(1-4), pp. 163-174, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
total weighted completion time, controllable setup and processing times, single machine scheduling, group technology |
45 | Zhisheng Niu, Yoshitaka Takahashi |
A finite-capacity queue with exhaustive vacation/close-down/setup times and Markovian arrival processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 31(1-2), pp. 1-23, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
closedown time, supplementary variable method, Markovian arrival process (MAP), setup time, vacation, finite capacity queue |
45 | José Niño-Mora |
A Marginal Productivity Index Rule for Scheduling Multiclass Queues with Setups. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NET-COOP ![In: Network Control and Optimization, Second Euro-NF Workshop, NET-COOP 2008, Paris, France, September 8-10, 2008. Revised Selected Papers, pp. 78-86, 2008, Springer, 978-3-642-00392-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
optimal service control of queues, multiclass queues, setup costs, marginal productivity index, queues with hysteresis, polling systems, Stochastic scheduling, setup times, index policies |
45 | Miguel A. Melnyk, Admela Jukan, Constantine D. Polychronopoulos |
A Cross-Layer Analysis of Session Setup Delay in IP Multimedia Subsystem (IMS) With EV-DO Wireless Transmission. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Multim. ![In: IEEE Trans. Multim. 9(4), pp. 869-881, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Christian Artigues, Dominique Feillet |
A branch and bound method for the job-shop problem with sequence-dependent setup times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 159(1), pp. 135-159, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Dynamic programming, Branch and bound, Constraint propagation, Job-shop scheduling, Sequence-dependent setup times |
40 | Egon Balas, Neil Simonetti, Alkis Vazacopoulos |
Job shop scheduling with setup times, deadlines and precedence constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Sched. ![In: J. Sched. 11(4), pp. 253-262, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Traveling salesman problem with time windows shifting bottleneck, Dynamic programming, Sequence-dependent setup times |
40 | Huafei Zhu, Willy Susilo, Yi Mu 0001 |
Multi-party Stand-Alone and Setup-Free Verifiably Committed Signatures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Public Key Cryptography ![In: Public Key Cryptography - PKC 2007, 10th International Conference on Practice and Theory in Public-Key Cryptography, Beijing, China, April 16-20, 2007, Proceedings, pp. 134-149, 2007, Springer, 978-3-540-71676-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
setup-free, stand-alone, verifiably committed signatures, multi-party |
40 | Huafei Zhu, Feng Bao 0001 |
Stand-Alone and Setup-Free Verifiably Committed Signatures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CT-RSA ![In: Topics in Cryptology - CT-RSA 2006, The Cryptographers' Track at the RSA Conference 2006, San Jose, CA, USA, February 13-17, 2006, Proceedings, pp. 159-173, 2006, Springer, 3-540-31033-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Off-line fair-exchange, Setup-free, Stand-alone property, Verifiably committed signature |
39 | Shweta Srivastava, Jaijeet S. Roychowdhury |
Independent and Interdependent Latch Setup/Hold Time Characterization via Newton-Raphson Solution and Euler Curve Tracking of State-Transition Equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(5), pp. 817-830, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
39 | Gabriella Stecco, Jean-François Cordeau, Elena Moretti |
A tabu search heuristic for a sequence-dependent and time-dependent scheduling problem on a single machine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Sched. ![In: J. Sched. 12(1), pp. 3-16, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Sequence-dependent, Tabu search, Single machine scheduling, Setup times, Time-dependent |
34 | Reza Tavakkoli-Moghaddam, M. Khalili, B. Naderi 0001 |
A hybridization of simulated annealing and electromagnetic-like mechanism for job shop problems with machine availability and sequence-dependent setup times to minimize total weighted tardiness. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 13(10), pp. 995-1006, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Machine availability constraints, Electromagnetism-like mechanism, Hybrid meta-heuristic method, Simulated annealing, Job shop, Sequence-dependent setup times |
34 | Rubén Ruiz, Ali Allahverdi |
Some effective heuristics for no-wait flowshops with setup times to minimize total completion time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 156(1), pp. 143-171, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
No-wait, Total flowtime, Setup times, Stochastic local search, Flowshop |
34 | Vinícius Amaral Armentano, Olinto César Bassi de Araújo |
Grasp with memory-based mechanisms for minimizing total tardiness in single machine scheduling with setup times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Heuristics ![In: J. Heuristics 12(6), pp. 427-446, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Memory mechanisms, Single machine scheduling, Setup times, Tardiness, Greedy randomized adaptive search procedures |
34 | Bo Chen 0002, Yinyu Ye 0001, Jiawei Zhang 0006 |
Lot-sizing scheduling with batch setup times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Sched. ![In: J. Sched. 9(3), pp. 299-310, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Batch setup time, Scheduling, Approximation algorithm, Approximation scheme, Lot-sizing |
34 | Matthias Schneider-Hufschmidt, David Williams, Martin Böcker, Margareta Flygt, Pekka Ketola, Bruno von Niman, Michael Tate |
The right to information: setup of mobile terminals and services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobile HCI ![In: Proceedings of the 8th Conference on Human-Computer Interaction with Mobile Devices and Services, Mobile HCI 2006, Helsinki, Finland, September 12-15, 2006, pp. 199-202, 2006, ACM, 1-59593-390-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
setup procedures, mobile computing, mobile devices, e-services |
34 | Christian Artigues, Pierre Lopez, Pierre-Dimitri Ayache |
Schedule Generation Schemes for the Job-Shop Problem with Sequence-Dependent Setup Times: Dominance Properties and Computational Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 138(1), pp. 21-52, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
schedule generation scheme, dominance properties, priority rules, job-shop, scheduling theory, sequence-dependent setup times |
34 | Jesus R. Artalejo, Antonis Economou, Maria Jesus Lopez-Herrero |
Analysis of a Multiserver Queue with Setup Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 51(1-2), pp. 53-76, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
queueing performance, matrix geometric solutions, numerical inversion, continuous time Markov chain, setup times, difference equations, multiserver queue |
34 | Roger Z. Ríos-Mercado, Jonathan F. Bard |
The Flow Shop Scheduling Polyhedron with Setup Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comb. Optim. ![In: J. Comb. Optim. 7(3), pp. 291-318, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
facet-defining inequalities, polyhedral combinatorics, setup times, flow shop scheduling, asymmetric traveling salesman problem, linear ordering problem |
34 | Andrew J. Miller, George L. Nemhauser, Martin W. P. Savelsbergh |
Facets, Algorithms, and Polyhedral Characterizations for a Multi-item Production Planning Model with Setup Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCO ![In: Integer Programming and Combinatorial Optimization, 8th International IPCO Conference, Utrecht, The Netherlands, June 13-15, 2001, Proceedings, pp. 318-332, 2001, Springer, 3-540-42225-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
capacitated lot-sizing, fixed charge network flow, Mixed integer programming, polyhedral combinatorics, production planning, setup times |
34 | Hideaki Takagi |
M/G/1/K queues withN-policy and setup times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 14(1-2), pp. 79-98, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
M/G/1/K queue, exhaustive service, limited service, setup times, vacation models, N-policy |
34 | Tsuyoshi Katayama |
A note on conservation laws for a multiclass service queueing system with setup times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 11(3), pp. 299-306, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
Conservation law, setup time, batch arrival, mean waiting time, decomposition theorem |
34 | Erkan Acar, Sule Ozev |
Go/No-Go Testing of VCO Modulation RF Transceivers Through the Delayed-RF Setup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(1), pp. 37-47, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Shweta Srivastava, Jaijeet S. Roychowdhury |
Interdependent Latch Setup/Hold Time Characterization via Euler-Newton Curve Tracing on State-Transition Equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 136-141, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
34 | Matthew T. Busche, Christopher J. Olszewski |
Setup-Trail-Avoidance Routing Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNP ![In: 1996 International Conference on Network Protocols, ICNP 1996, October 29 - November 1, 1996, Columbus, Ohio, USA, pp. 140-, 1996, IEEE Computer Society, 0-8186-7453-9. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
34 | Hamed Abrishami, Safar Hatami, Behnam Amelifard, Massoud Pedram |
NBTI-aware flip-flop characterization and design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 18th ACM Great Lakes Symposium on VLSI 2008, Orlando, Florida, USA, May 4-6, 2008, pp. 29-34, 2008, ACM, 978-1-59593-999-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
device aging, setup and hold times, static timing analysis, NBTI, circuit reliability |
34 | Côme Berbain, Henri Gilbert |
On the Security of IV Dependent Stream Ciphers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSE ![In: Fast Software Encryption, 14th International Workshop, FSE 2007, Luxembourg, Luxembourg, March 26-28, 2007, Revised Selected Papers, pp. 254-273, 2007, Springer, 978-3-540-74617-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
IV setup, stream cipher, provable security, PRNG |
34 | S. Balajee, Ananta K. Majhi |
Automated AC (Timing) Characterization for Digital Circuit Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 11th International Conference on VLSI Design (VLSI Design 1991), 4-7 January 1998, Chennai, India, pp. 374-377, 1998, IEEE Computer Society, 0-8186-8224-8. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Timing Characterization, STIL, Setup and Hold Time |
34 | K. Wayne Current |
Memory Circuits for Multiple-Valued Logic Voltage Signals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 25th IEEE International Symposium on Multiple-Valued Logic, ISMVL 1995, Bloomington, Indiana, USA, May 23-25, 1995, Proceedings, pp. 52-57, 1995, IEEE Computer Society, 0-8186-7118-1. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
memory circuits, multiple valued logic voltage signals, voltage-mode CMOS multiple valued logic memory circuits, polysilicon-gate CMOS technology, SETUP clock mode, HOLD clock mode, multivalued logic circuits, integrated memory circuits, CMOS memory circuits |
28 | Kwang-Ryoul Kim, Seung-Kuck Kim, Sung-Gi Min |
mSCTP Connection Setup Method to Mobile Node Using Connection Setup Proxy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Sixth International Conference on Computer and Information Technology (CIT 2006), 20-22 September 2006, Seoul, Korea, pp. 77, 2006, IEEE Computer Society, 0-7695-2687-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Tales Heimfarth, Edison Pignaton de Freitas, Carlos Eduardo Pereira, Armando Morado Ferreira, Flávio Rech Wagner, Tony Larsson |
Experimental Analysis of a Wireless Sensor Network Setup Strategy Provided by an Agent-Oriented Middleware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AINA ![In: 24th IEEE International Conference on Advanced Information Networking and Applications, AINA 2010, Perth, Australia, 20-13 April 2010, pp. 820-826, 2010, IEEE Computer Society, 978-0-7695-4018-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
agent-oriented middleware, setup strategy, overhead evaluation, Wireless sensor networks |
28 | Waiman Cheung, Hong Zhou 0002 |
Using Genetic Algorithms and Heuristics for Job Shop Scheduling with Sequence-Dependent Setup Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 107(1-4), pp. 65-81, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
genetic algorithm and heuristic, scheduling, job shop, sequence-dependent setup time |
28 | Petra Schuurman, Gerhard J. Woeginger |
Preemptive Scheduling with Job-Dependent Setup Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SODA ![In: Proceedings of the Tenth Annual ACM-SIAM Symposium on Discrete Algorithms, 17-19 January 1999, Baltimore, Maryland, USA., pp. 759-767, 1999, ACM/SIAM, 0-89871-434-6. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP BibTeX RDF |
scheduling, approximation algorithm, approximation scheme, worst case analysis, setup time |
28 | Chih-Lin I, Gregory P. Pollini, Richard D. Gitlin |
PCS mobility management using the reverse virtual call setup algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 5(1), pp. 13-24, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
reverse virtual call setup, mobility management, PCS, personal communications |
28 | A. Yavuz Oruç, Millind Mittal |
Setup Algorithms for Cube-Connected Parallel Computers Using Recursive Karnaugh Maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 40(2), pp. 217-221, 1991. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
setup algorithms, cube-connected parallel computers, recursive Karnaugh maps, one-pass permutations, parallel algorithms, computational complexity, time complexities, transportations, cycles, cycles, paths, transpositions |
28 | Izumi Hatta, Kenichi Ohara, Tatsuo Arai, Yasushi Mae, Tomohito Takubo |
Automated initial setup method for two-fingered micro hand system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2009 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 11-15, 2009, St. Louis, MO, USA, pp. 3271-3276, 2009, IEEE, 978-1-4244-3803-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
28 | Wissam Fawaz, Ken Chen 0004, Zahi Nakad, Chadi Abou-Rjeily |
A Simple Quality-of-Service-Based Connection Setup Management Approach for Optical Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: Proceedings of IEEE International Conference on Communications, ICC 2008, Beijing, China, 19-23 May 2008, pp. 5349-5353, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Cynthia Kuo, Jesse Walker, Adrian Perrig |
Low-Cost Manufacturing, Usability, and Security: An Analysis of Bluetooth Simple Pairing and Wi-Fi Protected Setup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Financial Cryptography ![In: Financial Cryptography and Data Security, 11th International Conference, FC 2007, and 1st International Workshop on Usable Security, USEC 2007, Scarborough, Trinidad and Tobago, February 12-16, 2007. Revised Selected Papers, pp. 325-340, 2007, Springer, 978-3-540-77365-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Volkmar Eichhorn, Kenneth Carlson, Karin Nordström Andersen, Sergej Fatikow, Peter Bøggild |
Nanorobotic manipulation setup for pick-and-place handling and nondestructive characterization of carbon nanotubes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2007 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 29 - November 2, 2007, Sheraton Hotel and Marina, San Diego, California, USA, pp. 291-296, 2007, IEEE, 978-1-4244-0912-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Shweta Srivastava, Jaijeet S. Roychowdhury |
Rapid and accurate latch characterization via direct Newton solution of setup/hold times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 1006-1011, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Inn Inn Er, Winston Khoon Guan Seah |
Distributed Steiner-Like Multicast Path Setup for Mesh-based Multicast Routing in Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SUTC (2) ![In: IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing (SUTC 2006), 5-7 June 2006, Taichung, Taiwan, pp. 192-197, 2006, IEEE Computer Society, 0-7695-2553-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Silvanus T. Enns |
The effects of backorder information and reduced-setup dispatching under reorder point or Kanban replenishment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the Winter Simulation Conference WSC 2006, Monterey, California, USA, December 3-6, 2006, pp. 1914-1919, 2006, IEEE Computer Society, 1-4244-0501-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Nikhil Bansal 0001, Don Coppersmith, Baruch Schieber |
Minimizing Setup and Beam-On Times in Radiation Therapy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPROX-RANDOM ![In: Approximation, Randomization, and Combinatorial Optimization. Algorithms and Techniques, 9th International Workshop on Approximation Algorithms for Combinatorial Optimization Problems, APPROX 2006 and 10th International Workshop on Randomization and Computation, RANDOM 2006, Barcelona, Spain, August 28-30 2006, Proceedings, pp. 27-38, 2006, Springer, 3-540-38044-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Wenjian Liu, Gaoliang Peng |
An Internet-Enabled Setup Planning System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICITA (2) ![In: Third International Conference on Information Technology and Applications (ICITA 2005), 4-7 July 2005, Sydney, Australia, pp. 89-92, 2005, IEEE Computer Society, 0-7695-2316-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Thomas Flohr 0002, Thorsten Schneider |
An XP Experiment with Students - Setup and Problems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PROFES ![In: Product Focused Software Process Improvement, 6th International Conference, PROFES 2005, Oulu, Finland, June 13-15, 2005, Proceedings, pp. 474-486, 2005, Springer, 3-540-26200-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Trevor Pering, Vijay Raghunathan, Roy Want |
Exploiting Radio Hierarchies for Power-Efficient Wireless Device Discovery and Connection Setup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 18th International Conference on VLSI Design (VLSI Design 2005), with the 4th International Conference on Embedded Systems Design, 3-7 January 2005, Kolkata, India, pp. 774-779, 2005, IEEE Computer Society, 0-7695-2264-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Chuangyin Dang, Liying Kang |
Batch-Processing Scheduling with Setup Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comb. Optim. ![In: J. Comb. Optim. 8(2), pp. 137-146, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
scheduling, approximation algorithm, batching |
28 | R. Radhakrishna Pillai |
A distributed overload control algorithm for delay-bounded call setup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 9(6), pp. 780-789, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Call overload control, signaling performance, distributed algorithm, communication networks |
28 | Byeongsik Kim, Woojik Chun, Jaeho Yoo |
Constraint-Based LSP Setup by Message Reversing of CR-LDP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: The 15th International Conference on Information Networking, ICOIN 2001, Beppu City, Oita, Japan, January 31 - February 2, 2001, pp. 875-882, 2001, IEEE Computer Society, 0-7695-0951-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
28 | Manuel Laguna, J. Wesley Barnes, Fred W. Glover |
Intelligent scheduling with tabu search: An application to jobs with linear delay penalties and sequence-dependent setup costs and times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Intell. ![In: Appl. Intell. 3(2), pp. 159-172, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
combinatorial optimization, tabu search, Production scheduling |
28 | Jordi Mongay Batalla, Robert Janowski |
Provisioning Dedicated Class of Service for Reliable Transfer of Signaling Traffic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Managing Traffic Performance in Converged Networks, 20th International Teletraffic Congress, ITC20 2007, Ottawa, Canada, June 17-21, 2007, Proceedings, pp. 853-864, 2007, Springer, 978-3-540-72989-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
setup latency, QoS, SIP, Signaling, Class of Service |
28 | Wuyi Yue, Shunfu Jin |
Performance Analysis of Digital Wireless Networks with ARQ Schemes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (4) ![In: Computational Science - ICCS 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part IV, pp. 352-359, 2007, Springer, 978-3-540-72589-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
wireless networks, performance analysis, ARQ, setup |
28 | Alfredo García Hernández-Díaz, Pilar Moreno |
Analysis and optimal control of a discrete-time queueing system under the (m, N)-policy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VALUETOOLS ![In: Proceedings of the 1st International Conference on Performance Evaluation Methodolgies and Tools, VALUETOOLS 2006, Pisa, Italy, October 11-13, 2006, pp. 16, 2006, ACM, 1-59593-504-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
early setup, tabu search, optimal control, discrete-time queue |
28 | Zhaohui Liu 0001, T. C. Edwin Cheng |
Minimizing Total Completion Time Subject to Job Release Dates and Preemption Penalties. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Sched. ![In: J. Sched. 7(4), pp. 313-327, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
preemption penalty, preemptive scheduling, setup time |
28 | Gurjot Singh, J. Edward Swan II, J. Adam Jones, Lorraine Lin, Stephen R. Ellis |
Depth judgment measures and occluders in near-field augmented reality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APGV ![In: Proceedings of the 6th Symposium on Applied Perception in Graphics and Visualization, APGV 2009, Chania, Crete, Greece, September 30 - October 2, 2009, pp. 127, 2009, ACM, 978-1-60558-743-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
28 | Nishanth Chandran, Vipul Goyal, Amit Sahai |
New Constructions for UC Secure Computation Using Tamper-Proof Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROCRYPT ![In: Advances in Cryptology - EUROCRYPT 2008, 27th Annual International Conference on the Theory and Applications of Cryptographic Techniques, Istanbul, Turkey, April 13-17, 2008. Proceedings, pp. 545-562, 2008, Springer, 978-3-540-78966-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Yong Ho Kim, Hwaseong Lee, Dong Hoon Lee 0001, Jongin Lim 0001 |
A Key Management Scheme for Large Scale Distributed Sensor Networks. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
PWC ![In: Personal Wireless Communications, IFIP TC6 11th International Conference, PWC 2006, Albacete, Spain, September 20-22, 2006, Proceedings, pp. 437-446, 2006, Springer, 3-540-45174-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
security, wireless sensor networks, key management |
28 | Gwenolé Maugard, Carsten Wegener, Tom O'Dwyer, Michael Peter Kennedy |
Method of reducing contactor effect when testing high-precision ADCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2003 International Test Conference (ITC 2003), Breaking Test Interface Bottlenecks, 28 September - 3 October 2003, Charlotte, NC, USA, pp. 210-217, 2003, IEEE Computer Society, 0-7803-8106-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Mounia Belhabib |
Investigation on radio channel over the air emulation by multi-probe setup. (L'émulation d'un canal de propagation en rayonnée à l'aide d'un setup multi-sonde). ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2017 |
RDF |
|
23 | Pankaj Sharma, Ajai Jain |
New setup-oriented dispatching rules for a stochastic dynamic job shop manufacturing system with sequence-dependent setup times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Concurr. Eng. Res. Appl. ![In: Concurr. Eng. Res. Appl. 24(1), pp. 58-68, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
23 | Bernardo Almada-Lobo, José Fernando Oliveira, Maria Antónia Carravilla |
A note on "the capacitated lot-sizing and scheduling problem with sequence-dependent setup costs and setup times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Oper. Res. ![In: Comput. Oper. Res. 35(4), pp. 1374-1376, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Ali Allahverdi, H. M. Soroush |
The significance of reducing setup times/setup costs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Eur. J. Oper. Res. ![In: Eur. J. Oper. Res. 187(3), pp. 978-984, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Seok Ho Chang, Dae Won Choi |
Modeling and Performance Analysis of a Finite-Buffer Queue with Batch Arrivals, Batch Services, and Setup Times: The MX/GY/1/K + B Queue with Setup Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
INFORMS J. Comput. ![In: INFORMS J. Comput. 18(2), pp. 218-228, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Wissam Fawaz, Ken Chen 0004 |
SSTF: A "Shortest Setup Time First" Optical Connections Setup Management Approach with Quantifiable Success Rate. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GLOBECOM ![In: Proceedings of the Global Telecommunications Conference, 2006. GLOBECOM '06, San Francisco, CA, USA, 27 November - 1 December 2006, 2006, IEEE, 1-4244-0357-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Diwakar Gupta, Thorkell Magnusson |
The capacitated lot-sizing and scheduling problem with sequence-dependent setup costs and setup times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Oper. Res. ![In: Comput. Oper. Res. 32, pp. 727-747, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Moncer A. Hariga |
Setup cost reduction in (Q, r) policy with lot size, setup time and lead-time interactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Oper. Res. Soc. ![In: J. Oper. Res. Soc. 51(11), pp. 1340-1345, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
23 | Mohsen Elhafsi, Sherman X. Bai |
Optimal production control of a dynamic two-product manufacturing system with setup costs and setup times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Glob. Optim. ![In: J. Glob. Optim. 9(2), pp. 183-216, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
23 | Yi-Bing Lin |
Eliminating tromboning mobile call setup for international roaming users. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 8(1), pp. 320-325, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Meral Shirazipour, Samuel Pierre |
Reducing TE-LSP setup time by minimizing blockage with the use of pre-reservations during the path computation process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCECE ![In: Proceedings of the 22nd Canadian Conference on Electrical and Computer Engineering, CCECE 2009, 3-6 May 2009, Delta St. John's Hotel and Conference Centre, St. John's, Newfoundland, Canada, pp. 610-613, 2009, IEEE, 978-1-4244-3508-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
23 | Latifa Boursas, Vitalian A. Danciu |
Dynamic inter-organizational cooperation setup in Circle-of-Trust environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NOMS ![In: IEEE/IFIP Network Operations and Management Symposium: Pervasive Management for Ubioquitous Networks and Services, NOMS 2008, 7-11 April 2008, Salvador, Bahia, Brazil, pp. 113-120, 2008, IEEE, 978-1-4244-2066-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Martin Romauch, Walter Laure, Georg Seidel, Christian Almeder |
Decision making and forecasting with respect to risk: A simulation study for a setup-problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 2008 Winter Simulation Conference, Global Gateway to Discovery, WSC 2008, InterContinental Hotel, Miami, Florida, USA, December 7-10, 2008, pp. 2171-2175, 2008, WSC, 978-1-4244-2708-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
23 | Apostolos Burnetas, Antonis Economou |
Equilibrium customer strategies in a single server Markovian queue with setup times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Queueing Syst. Theory Appl. ![In: Queueing Syst. Theory Appl. 56(3-4), pp. 213-228, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Mathematics Subject Classification (2000) 60K25, 91A13, 90B22 |
23 | Emre Salman, Ali Dasdan, Feroze Taraporevala, Kayhan Küçükçakar, Eby G. Friedman |
Exploiting Setup-Hold-Time Interdependence in Static Timing Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(6), pp. 1114-1125, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Nakjung Choi, Yongho Seok, Yanghee Choi, Taekyoung Kwon 0001 |
Half Direct-Link Setup (H-DLS) for Fairness between External and Local TCP Connections in IEEE 802.11e Wireless LANs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: Proceedings of IEEE International Conference on Communications, ICC 2007, Glasgow, Scotland, UK, 24-28 June 2007, pp. 4500-4505, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Tai-Yi Huang, Yu-Che Tsai, Edward T.-H. Chu |
A Near-optimal Solution for the Heterogeneous Multi-processor Single-level Voltage Setup Problem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 21th International Parallel and Distributed Processing Symposium (IPDPS 2007), Proceedings, 26-30 March 2007, Long Beach, California, USA, pp. 1-10, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
23 | Jinjiang Yuan, Zhaohui Liu 0001, C. T. Ng 0001, T. C. Edwin Cheng |
Single machine batch scheduling problem with family setup times and release dates to minimize makespan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Sched. ![In: J. Sched. 9(6), pp. 499-513, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Scheduling, Makespan, Family, Batching, Release dates |
23 | Huafei Zhu, Feng Bao 0001 |
More on Stand-Alone and Setup-Free Verifiably Committed Signatures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACISP ![In: Information Security and Privacy, 11th Australasian Conference, ACISP 2006, Melbourne, Australia, July 3-5, 2006, Proceedings, pp. 148-158, 2006, Springer, 3-540-35458-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Emre Salman, Eby G. Friedman, Ali Dasdan, Feroze Taraporevala, Kayhan Küçükçakar |
Pessimism Reduction In Static Timing Analysis Using Interdependent Setup and Hold Times. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 7th International Symposium on Quality of Electronic Design (ISQED 2006), 27-29 March 2006, San Jose, CA, USA, pp. 159-164, 2006, IEEE Computer Society, 0-7695-2523-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
23 | Ary Setijadi Prihatmanto, Michael Haller, Roland R. Wagner |
Flexible Camera Setup for Visual Based Registration on 2D Interaction Surface with Undefined Geometry Using Neural Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICAT ![In: Advances in Artificial Reality and Tele-Existence, 16th International Conference on Artificial Reality and Telexistence, ICAT 2006, Hangzhou, China, November 29 - December 1, 2006, Proceedings, pp. 948-959, 2006, Springer, 3-540-49776-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
visual based registration, tabletop application, neural network, camera calibration, function approximation |
23 | Shaoxiong Hua, Gang Qu 0001 |
Voltage Setup Problem for Embedded Systems With Multiple Voltages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(7), pp. 869-872, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Stavros Tzavidas, Aggelos K. Katsaggelos |
A multicamera setup for generating stereo panoramic video. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Multim. ![In: IEEE Trans. Multim. 7(5), pp. 880-890, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Joel J. P. C. Rodrigues, Mário M. Freire, Pascal Lorenz |
Impact of Setup Message Processing and Optical Switch Configuration Times on the Performance of IP over Optical Burst Switching Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIS ![In: Computer and Information Sciences - ISCIS 2005, 20th International Symposium, Istanbul, Turkey, October 26-28, 2005, Proceedings, pp. 264-273, 2005, Springer, 3-540-29414-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Kyusik Chung, Donghyun Kim, Lee-Sup Kim |
A 3-way SIMD engine for programmable triangle setup in embedded 3D graphics hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 4546-4549, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Young Hae Lee, Jung Woo Jung |
New Heuristics for No-Wait Flowshop Scheduling with Precedence Constraints and Sequence Dependent Setup Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (4) ![In: Computational Science and Its Applications - ICCSA 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part IV, pp. 467-476, 2005, Springer, 3-540-25863-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Abdelhakim Hafid, Abdelilah Maach, Mazen G. Khair, Jawad Drissi |
Optical Routing Border Gateway Protocol-Based Advance Lightpath Setup. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Systems Communications ![In: Systems Communications 2005 (ICW / ICHSN / ICMCS / SENET 2005), 14-17 August 2005, Montreal, Canada, pp. 223-228, 2005, IEEE Computer Society, 0-7695-2422-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
23 | Yosuke Kanitani, Shin'ichi Arakawa, Masayuki Murata 0001, Ken-ichi Kitayama |
Distributed Wavelength Reservation Method for Fast Lightpath Setup in WDM Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OpNeTec ![In: Optical Networks and Technologies, IFIP TC6 / WG6.10 First Optical Networks & Technologies Conference (OpNeTec), October 18-20, 2004, Pisa, Italy, pp. 121-128, 2004, Springer, 0-387-23177-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
23 | Kerstin Buchacker, Mario Dal Cin, Hans-Jörg Höxer, Roland Karch, Volkmar Sieh, Oliver Tschäche |
Reproducible Dependability Benchmarking Experiments Based on Unambiguous Benchmark Setup Descriptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2003 International Conference on Dependable Systems and Networks (DSN 2003), 22-25 June 2003, San Francisco, CA, USA, Proceedings, pp. 469-478, 2003, IEEE Computer Society, 0-7695-1952-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Jaepil Yoo, Keecheon Kim, Sunyoung Han |
Independent Zone Setup Scheme for Re-configurable Wireless Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science ![In: Computational Science - ICCS 2003, International Conference, Melbourne, Australia and St. Petersburg, Russia, June 2-4, 2003. Proceedings, Part II, pp. 917-924, 2003, Springer, 3-540-40195-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
23 | Jaepil Yoo, Keecheon Kim |
Independent Zone Setup Scheme for Re-configurable Wireless Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: Information Networking, Networking Technologies for Enhanced Internet Services International Conference, ICOIN 2003, Cheju Island, Korea, February 12-14, 2003, Revised Selected Papers, pp. 643-650, 2003, Springer, 3-540-40827-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 5044 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|