The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase super-scalar (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1986-1997 (17) 1998-2001 (15) 2002-2004 (15) 2005-2020 (15)
Publication types (Num. hits)
article(9) inproceedings(53)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 40 occurrences of 40 keywords

Results
Found 62 publication records. Showing 62 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
41John Alexander McDermid Complexity: Concept, Causes and Control. Search on Bibsonomy ICECCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF internal sources, modern hardware, super-scalar processors, external sources, complexity management, strict dependability requirements, software engineering, embedded systems, risk, product families, computer based systems
41Minjoong Rim, Rajiv Jain Valid Transformations: A New Class of Loop Transformations for High-Level Synthesis and Pipelined Scheduling Applications. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF super-scalar, loop compilation, High-level synthesis, VLIW, loop transformations, loop optimization, pipeline scheduling
29Masaharu Goto, Toshinori Sato Leakage Energy Reduction in Register Renaming. Search on Bibsonomy ICDCS Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF super-scalar processors, embedded processors, register renaming, deep submicron, Leakage energy
25Kieran T. Herley, David F. Snelling Architectures and Networks. Search on Bibsonomy Euro-Par The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Masatoshi Shima 0001 The Birth, Evolution and Future of the Microprocessor. Search on Bibsonomy CIT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Kentaro Shimada, Tatsuya Kawashimo, Makoto Hanawa, Ryo Yamagata, Eiki Kamada A Superscalar RISC Processor with 160 FPRs for Large Scale Scientific Processing. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scientific processing, slide-windowed registers, large number of FPRs, SR8000, supercomputer, software prefetch
19Akihito Takahashi, Stanislav Sedukhin Parallel Blocked Algorithm for Solving the Algebraic Path Problem on a Matrix Processor. Search on Bibsonomy HPCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Mikhail Asiatici, Damian Maiorano, Paolo Ienne FPGAs in the Datacenters: the Case of Parallel Hybrid Super Scalar String Sample Sort. Search on Bibsonomy ASAP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
18Felix Mühlbauer, Lukas Schröder, Mario Schölzel Handling of transient and permanent faults in dynamically scheduled super-scalar processors. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Mikhail Asiatici, Damian Maiorano, Paolo Ienne FPGAs in the Datacenters: the Case of Parallel Hybrid Super Scalar String Sample Sort (pHS5)(Abstract Only). Search on Bibsonomy FPGA The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Michael Axtmann, Sascha Witt, Daniel Ferizovic, Peter Sanders 0001 In-place Parallel Super Scalar Samplesort (IPSSSSo). Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
18Michael Axtmann, Sascha Witt, Daniel Ferizovic, Peter Sanders 0001 In-Place Parallel Super Scalar Samplesort (IPSSSSo). Search on Bibsonomy ESA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Mostafa E. Salehi, Hamed Dorosti, Sied Mehdi Fakhraie Architecture-Level Design Space Exploration of Super Scalar Microarchitecture for Network Applications. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
18Marcin Zukowski, Sándor Héman, Niels Nes, Peter A. Boncz Super-Scalar RAM-CPU Cache Compression. Search on Bibsonomy ICDE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Kugan Vivekanandarajah, Thambipillai Srikanthan, Saurav Bhattacharyya Decode filter cache for energy efficient instruction cache hierarchy in super scalar architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Peter Sanders 0001, Sebastian Winkel Super Scalar Sample Sort. Search on Bibsonomy ESA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Nahmsuk Oh, Philip P. Shirvani, Edward J. McCluskey Error detection by duplicated instructions in super-scalar processors. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Jack Y. B. Lee, C. H. Lee Design, performance analysis, and implementation of a super-scalar video-on-demand system. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Hassan Aljifri, Alexander Perez-Pons, Moiez A. Tapia The Estimation of the WCET in Super-Scalar Real-Time System. Search on Bibsonomy Scalable Comput. Pract. Exp. The full citation details ... 2001 DBLP  BibTeX  RDF
18Motokazu Ozawa, Masashi Imai, Hiroshi Nakamura, Takashi Nanya, Yoichiro Ueno Performance Evaluation of Cascade ALU Architecture for Asynchronous Super-Scalar Processors. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Chris Edmondson-Yurkanan Why you should build a super-scalar pipeline simulator. Search on Bibsonomy WCAE@HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
18Ramesh C. Agarwal A Super Scalar Sort Algorithm for RISC Processors. Search on Bibsonomy SIGMOD Conference The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Irith Pomeranz, Nirmal R. Saxena, Richard Reeve, Paritosh Kulkarni, Yan A. Li Generation of Test Cases for Hardware Design Verification of a Super-Scalar Fetch Processor. Search on Bibsonomy ITC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Babu Turumella, Aiman Kabakibo, Manjunath Bogadi, Karakunakara Menon, Shaleah Thusoo, Long Nguyen, Nirmal R. Saxena, Michael Chow Design Verification of a Super-Scalar RISC Processor. Search on Bibsonomy FTCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18William F. Appelbe, Srinivas Doddapaneni, Reid Harmon, Phil May, D. Scott Wills, Maurizio Vitale Hoisting Branch Conditions - Improving Super-Scalar Processor Performance. Search on Bibsonomy LCPC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Pradip Bose Architectural Timing Verification and Test for Super Scalar Processors. Search on Bibsonomy FTCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Marc Pouzet Fine Grain Parallelisation of Functional Programs for VLIW or Super-scalar Architectures. Search on Bibsonomy Applications in Parallel and Distributed Computing The full citation details ... 1994 DBLP  BibTeX  RDF
18Terence M. Potter, Hsiao-chen Chung, Chuan-lin Wu Reconfigurable Branch Processing Strategy in Super-Scalar Microprocessors. Search on Bibsonomy ICPP (1) The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Edil S. T. Fernandes, Claudson F. Bornstein, Cláudia M. D. Pereira Parallel code generation for super-scalar architectures. Search on Bibsonomy Microprocess. Microprogramming The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Edil S. T. Fernandes, Fernando M. B. Barbosa Effects of Building Blocks on the Performance of Super-Scalar Architectures. Search on Bibsonomy ISCA The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Pradip Bose Early Performance Estimation of Super Scalar Machine Models. Search on Bibsonomy ICCD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Pradip Bose Optimal Code Generation for Expressions on Super Scalar Machines. Search on Bibsonomy FJCC The full citation details ... 1986 DBLP  BibTeX  RDF
13Alexandre Duchateau, Albert Sidelnik, María Jesús Garzarán, David A. Padua P-Ray: A Software Suite for Multi-core Architecture Characterization. Search on Bibsonomy LCPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Sung-Boem Park, Subhasish Mitra IFRA: instruction footprint recording and analysis for post-silicon bug localization in processors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF verification, debug, validation, design for debug
13Youssef N. Naguib, Rafik S. Guindi Speeding up SystemC simulation through process splitting. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Marcin Zukowski, Sándor Héman, Peter A. Boncz Architecture-conscious hashing. Search on Bibsonomy DaMoN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Balaji Vaidyanathan, Suresh Srinivasan, Yuan Xie 0001, Narayanan Vijaykrishnan, Rong Luo Leakage Optimized DECAP Design for FPGAs. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Thomas F. Wenisch, Roland E. Wunderlich, Babak Falsafi, James C. Hoe TurboSMARTS: accurate microarchitecture simulation sampling in minutes. Search on Bibsonomy SIGMETRICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF checkpointed microarchitecture simulation, simulation sampling
13Inki Hong, Miodrag Potkonjak, Ramesh Karri A heterogeneous built-in self-repair approach using system-level synthesis flexibility. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Kentaro Hamayasu, Vasily G. Moshnyaga Impact of Register-Cache Bandwidth Variation on Processor Performance. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Joshua L. Kihm, Daniel A. Connors Implementation of Fine-Grained Cache Monitoring for Improved SMT Scheduling. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
13Aneesh Aggarwal, Manoj Franklin Instruction Replication: Reducing Delays Due to Inter-PE Communication Latency. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Instruction Replication, Inter-PE communication, Instruction Distribution, Instructions per Cycle, Load Imbalance, Clustered processors
13Julien Bohbot, Marc Zolver, Diego Klahr, Arnaud Torres Three Dimensional Modelling of Combustion in a Direct Injection Diesel Engine Using a New Unstructured Parallel Solver. Search on Bibsonomy ICCSA (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13P. H. Chan, Jack Y. B. Lee An Efficient Disk-Array-Based Server Design for a Multicast Video Streaming System. Search on Bibsonomy ASAP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Rafael R. dos Santos, Tatiana Gadelha Serra dos Santos, Maurício L. Pilla, Philippe Olivier Alexandre Navaux, Sergio Bampi, Mario Nemirovsky Complex Branch Profiling for Dynamic Conditional Execution. Search on Bibsonomy SBAC-PAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
13Soner Önder Cost Effective Memory Dependence Prediction using Speculation Levels and Color Sets. Search on Bibsonomy IEEE PACT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load speculation, memory dependence prediction, store sets, wide issue superscalar, speculative execution
13Georgi Kuzmanov, Stamatis Vassiliadis, Jos T. J. van Eijndhoven A 2D Addressing Mode for Multimedia Applications. Search on Bibsonomy Embedded Processor Design Challenges The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Alex Ramírez, Oliverio J. Santana, Josep Lluís Larriba-Pey, Mateo Valero Fetching instruction streams. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Systems Application Architecture
13Mahmut T. Kandemir, J. Ramanujam Data Relation Vectors: A New Abstraction for Data Optimizations. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13André Hergenhan, Wolfgang Rosenstiel Static Timing Analysis of Embedded Software on Advanced Processor Architectures. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Patrick Crowley, Marc E. Fiuczynski, Jean-Loup Baer, Brian N. Bershad Characterizing processor architectures for programmable network interfaces. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Ramesh V. Peri, Srinivas Doddapaneni Compilers and Tools for Embedded Systems - Introduction. Search on Bibsonomy HICSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Derek L. Howard, Mikko H. Lipasti The Effect of Program Optimization on Trace Cache Efficiency. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF compiler optimization, Microarchitecture, superscalar processors, trace cache
13B. Grayson, L. John, C. Chase The effects of memory-access ordering on multiple-issue uniprocessor performance. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Miroslav N. Velev, Randal E. Bryant Superscalar Processor Verification Using Efficient Reductions of the Logic of Equality with Uninterpreted Functions to Propositional Logic. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13Robert W. Sumners, Jayanta Bhadra, Jacob A. Abraham Improving Witness Search Using Orders on States. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
13John L. Ross, Shmuel Sagiv Building a Bridge between Pointer Aliases and Program Dependences. Search on Bibsonomy ESOP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Omar Hammami Performance Impacts of Superscalar Microarchitecture on SOM Execution. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
13Jack L. Lo, Susan J. Eggers, Joel S. Emer, Henry M. Levy, Rebecca L. Stamm, Dean M. Tullsen Converting Thread-Level Parallelism to Instruction-Level Parallelism via Simultaneous Multithreading. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, instruction-level parallelism, thread-level parallelism, simultaneous multithreading, cache interference
13Corinna G. Lee, Derek J. DeVries Initial Results on the Performance and Cost of Vector Microprocessors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13Jeremy D. Frens, David S. Wise Auto-blocking Matrix-Multiplication or Tracking BLAS3 Performance with Source Code. Search on Bibsonomy PPoPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF indexing, storage management, paging, quadtrees, cache misses, swapping
13Waleed Meleis, Edward S. Davidson Optimal local register allocation for a multiple-issue machine. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #62 of 62 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license