The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for superscalars with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-2003 (15) 2004-2014 (4)
Publication types (Num. hits)
article(5) inproceedings(14)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 40 occurrences of 31 keywords

Results
Found 19 publication records. Showing 19 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
42Jawad Haj-Yihia, Yosi Ben-Asher, Efraim Rotem, Ahmad Yasin, Ran Ginosar Compiler-Directed Power Management for Superscalars. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
42Soner Önder, Rajiv Gupta 0001 Instruction Wake-Up in Wide Issue Superscalars. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy An evaluation of speculative instruction execution on simultaneous multithreaded processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading
42Dezsö Sima The Design Space of Register Renaming Techniques. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
42Alex Ramírez, Josep Lluís Larriba-Pey, Carlos Navarro, Xavi Serrano, Mateo Valero, Josep Torrellas Optimization of Instruction Fetch for Decision Support Workloads. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF High performance fetch, databases, profiling, compiler optimization, trace cache
42Pradeep K. Dubey, George B. Adams III, Michael J. Flynn Evaluating Performance Tradeoffs Between Fine-Grained and Coarse-Grained Alternatives. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
31Manu Gulati, Nader Bagherzadeh Performance Study of a Multithreaded Superscalar Microprocessor. Search on Bibsonomy HPCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multithreading, instruction-level parallelism, Superscalars, out-of-order execution
31Kemal Ebcioglu, Randy D. Groves, Ki-Chang Kim, Gabriel M. Silberman, Isaac Ziv VLIW Compilation Techniques in a Superscalar Environment. Search on Bibsonomy PLDI The full citation details ... 1994 DBLP  DOI  BibTeX  RDF profiling directed feedback, compiler optimizations, software pipelining, VLIW, superscalars, global scheduling, IBM RS/6000
31Hwa C. Torng, Martin Day Interrupt Handling for Out-of-Order Execution Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF out-of-order execution processors, low-level execution concurrency, vexing problem, hardware mechanism, concurrency control, latency, exception handling, exception handling, interrupts, superscalars, performance degradation, performance enhancement, instruction window, multiple instructions, interrupt handling
21Edward Lee, Craig B. Zilles Branch-on-random. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF lfsr, sampling, profiling, instrumentation, branch, pseudo-random
21Michael D. Powell, T. N. Vijaykumar Resource area dilation to reduce power density in throughput servers. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF throughput servers, SMT, power density
21Ramadass Nagarajan, Sundeep K. Kushwaha, Doug Burger, Kathryn S. McKinley, Calvin Lin, Stephen W. Keckler Static Placement, Dynamic Issue (SPDI) Scheduling for EDGE Architectures. Search on Bibsonomy IEEE PACT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Ravishankar Rao, Mark Oskin, Frederic T. Chong HLSpower: Hybrid Statistical Modeling of the Superscalar Power-Performance Design Space. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Steven Wallace, Nader Bagherzadeh Multiple Branch and Block Prediction. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Manoj Franklin, Mark Smotherman A fill-unit approach to multiple instruction issue. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF multiple operation issue, instruction-level parallelism, VLIW, superscalar
21Gabriel M. Silberman, Kemal Ebcioglu An architectural framework for migration from CISC to higher performance platforms. Search on Bibsonomy ICS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
21Pradeep K. Dubey, George B. Adams III, Michael J. Flynn Spectrum of choices: superpipelined, superscalar, or multiprocessor? Search on Bibsonomy SPDP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Toshio Nakatani, Kemal Ebcioglu Using a lookahead window in a compaction-based parallelizing compiler. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
21Alexandru Nicolau, Roni Potasman Realistic scheduling: compaction for pipelined architectures. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
Displaying result #1 - #19 of 19 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license