The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for uniprocessors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1994 (15) 1995-1999 (15) 2000-2004 (21) 2005-2006 (17) 2007-2009 (17) 2010-2018 (9)
Publication types (Num. hits)
article(23) inproceedings(70) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 137 occurrences of 111 keywords

Results
Found 94 publication records. Showing 94 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
89James H. Anderson, Rohit Jain, Srikanth Ramamurthy Wait-free object-sharing schemes for real-time uniprocessors and multiprocessors. Search on Bibsonomy RTSS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF wait-free object-sharing schemes, real-time uniprocessors, real-time multiprocessors, priority inheritance protocol, breakdown utilization experiments, lock-based schemes, multiprocessing systems, nonblocking, user level, priority ceiling protocol
46Brian N. Bershad, David D. Redell, John R. Ellis Fast Mutual Exclusion for Uniprocessors. Search on Bibsonomy ASPLOS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
45Jochen Liedtke, Marcus Völp, Kevin Elphinstone Preliminary thoughts on memory-bus scheduling. Search on Bibsonomy ACM SIGOPS European Workshop The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
45S. Muthukrishnan 0001, Rajmohan Rajaraman, Anthony Shaheen, Johannes Gehrke Online Scheduling to Minimize Average Stretch. Search on Bibsonomy FOCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF scheduling, multiprocessors, online algorithms, competitive analysis
43Sanjoy K. Baruah The Limited-Preemption Uniprocessor Scheduling of Sporadic Task Systems. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sporadic tesks, non-preemptive scheduling, critical sections, EDF scheduling, uniprocessors
43Anthony-Trung Nguyen, Maged M. Michael, Arun Sharma, Josep Torrellas The Augmint multiprocessor simulation toolkit for Intel x86 architectures. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Augmint multiprocessor simulation toolkit, Intel x86 architectures, publicly available simulation tools, instruction mix, memory reference patterns, CISC architectures, execution driven multiprocessor simulation toolkit, m4 macro extended C, C++ applications, SPLASH-2 benchmark suites, thread based programming model, shared global address space, private stack space, simulator interface, MINT simulation toolkit, x8d based uniprocessor systems, multiprocessing systems, trace driven simulation, architecture simulators, uniprocessors
31Xiaozhe Gu Schedulability analysis and low-criticality execution support for mixed-criticality real-time systems on uniprocessors Search on Bibsonomy 2018   DOI  RDF
31Pontus Ekberg, Wang Yi 0001 Fixed-Priority Schedulability of Sporadic Tasks on Uniprocessors is NP-Hard. Search on Bibsonomy RTSS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
31Abhilash Thekkilakattil, Radu Dobrin, Sasikumar Punnekkat The limited-preemptive feasibility of real-time tasks on uniprocessors. Search on Bibsonomy Real Time Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
31Luís Almeida 0001 Guest Editorial: From Uniprocessors to Multiprocessors: Advances in Real-Time Systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
31Muhammad Ali Awan, Patrick Meumeu Yomsi, Stefan M. Petters Optimal procrastination interval for constrained deadline sporadic tasks upon uniprocessors. Search on Bibsonomy RTNS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
31Mengjie Mao, Hong An, Bobin Deng, Tao Sun, Xuechao Wei, Wei Zhou, Wenting Han Distributed replay protocol for distributed uniprocessors. Search on Bibsonomy ICS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
31Tomas Kalibera, Filip Pizlo, Antony L. Hosking, Jan Vitek Scheduling real-time garbage collection on uniprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
31Behnam Robatmili, Madhu Saravana Sibi Govindan, Doug Burger, Stephen W. Keckler Exploiting criticality to reduce bottlenecks in distributed uniprocessors. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
31Praveen Jayachandran, Tarek F. Abdelzaher Transforming Distributed Acyclic Systems into Equivalent Uniprocessors under Preemptive and Non-Preemptive Scheduling. Search on Bibsonomy ECRTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Zhao Zhang 0010, Xiaodong Zhang 0001 Fast Bit-Reversals on Uniprocessors and Shared-Memory Multiprocessors. Search on Bibsonomy SIAM J. Sci. Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Michel Cekleov, Michel Dubois 0001 Virtual-address caches. Part 1: problems and solutions in uniprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Alan H. Karp Bit Reversal on Uniprocessors. Search on Bibsonomy SIAM Rev. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31David Mosberger, Peter Druschel, Larry L. Peterson Implementing Atomic Sequences on Uniprocessors Using Rollforward. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31Richard J. Eickemeyer, Ross E. Johnson, Steven R. Kunkel, Mark S. Squillante, Shiafun Liu Evaluation of Multithreaded Uniprocessors for Commercial Application Environments. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
31Josep Lluís Larriba-Pey, Angel Jorba, Juan J. Navarro A Parallel Tridiagonal Solver for Vector Uniprocessors. Search on Bibsonomy PPSC The full citation details ... 1993 DBLP  BibTeX  RDF
31Steve Gregory, Ian T. Foster, Alastair D. Burt, Graem A. Ringwood An Abstract Machine for the Implementation of PARLOG on Uniprocessors. Search on Bibsonomy New Gener. Comput. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
30Luke K. McDowell, Susan J. Eggers, Steven D. Gribble Improving server software support for simultaneous multithreaded processors. Search on Bibsonomy PPoPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF servers, simultaneous multithreading, runtime support
30Ananth Grama, Vipin Kumar 0001, Sanjay Ranka, Vineet Singh Architecture Independent Analysis of Parallel Programs. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Richard F. Rashid, Avadis Tevanian, Michael Young, David B. Golub, Robert V. Baron, David L. Black 0001, William J. Bolosky, Jonathan Chew Machine-Independent Virtual Memory Management for Paged Uniprocessor and Multiprocessor Architectures. Search on Bibsonomy ASPLOS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
28Nathan Fisher, Farhana Dewan Approximate Bandwidth Allocation for Compositional Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Interface Bandwidth, Approximation Algorithms, Compositional Analysis, Uniprocessors
28Josep Lluís Larriba-Pey, Juan J. Navarro, Oriol Roig, Angel Jorba A generalized vision of some parallel bidiagonal systems solvers. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1994 DBLP  DOI  BibTeX  RDF r-cyclic reduction, vector uniprocessors, divide and conquer, Gaussian elimination, linear recurrences
15Abhishek Bhattacharjee, Margaret Martonosi Inter-core cooperative TLB for chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF parallelism, prefetching, translation lookaside buffer
15Derek Hower, Pablo Montesinos, Luis Ceze, Mark D. Hill, Josep Torrellas Two hardware-based approaches for deterministic multiprocessor replay. Search on Bibsonomy Commun. ACM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15John H. Reppy, Claudio V. Russo, Yingqi Xiao Parallel concurrent ML. Search on Bibsonomy ICFP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallelism, concurrency, message passing
15Thidapat Chantem, Xiaobo Sharon Hu, Robert P. Dick Online work maximization under a peak temperature constraint. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF temperature-constraint optimization, performance, reliability, DVFS
15Praveen Jayachandran, Tarek F. Abdelzaher Delay composition in preemptive and non-preemptive real-time pipelines. Search on Bibsonomy Real Time Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Pipelined distributed systems, Delay composition, Schedulability, End-to-end delay
15Alejandro Masrur, Sebastian Drössler, Georg Färber Improvements in Polynomial-Time Feasibility Testing for EDF. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Wolfgang Puffitsch Decoupled root scanning in multi-processor systems. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF real-time, garbage collection, multi-processor
15Jeffrey R. Diamond, Behnam Robatmili, Stephen W. Keckler, Robert A. van de Geijn, Kazushige Goto, Doug Burger High performance dense linear algebra on a spatially distributed processor. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gotoblas, grid processors, hybrid dataflow, matrix multiply, tile based architecture, instruction level parallelism, on-chip networks, dense linear algebra
15Dimitris Gizopoulos, Robert C. Aitken, Sandip Kundu Guest Editorial: Special Section on "Autonomous Silicon Validation and Testing of Microprocessors and Microprocessor-Based Systems". Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jinpeng Wei, Calton Pu Multiprocessors May Reduce System Dependability under File-Based Race Condition Attacks. Search on Bibsonomy DSN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Probabilistic Modeling, Race Condition
15Praveen Jayachandran, Tarek F. Abdelzaher A Delay Composition Theorem for Real-Time Pipelines. Search on Bibsonomy ECRTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Filip Pizlo, Daniel Frampton, Erez Petrank, Bjarne Steensgaard Stopless: a real-time garbage collector for multiprocessors. Search on Bibsonomy ISMM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF real-time, concurrency, garbage collection, lock-free
15Athanasios Milidonis, Nikolaos Alachiotis 0002, Vasileios Porpodas, Haralambos Michail, Athanasios Kakarountas, Constantinos E. Goutis Interactive presentation: A decoupled architecture of processors with scratch-pad memory hierarchy. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Rafael Ramírez 0001, Andrew E. Santosa A Framework for Separation of Concerns in Concurrent Programming. Search on Bibsonomy COMPSAC (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Krzysztof Rzadca Scheduling in Multi-organization Grids: Measuring the Inefficiency of Decentralization. Search on Bibsonomy PPAM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scheduling, grid, game theory, fairness, multi-objective optimization
15Shivali Agarwal, Rajkishore Barik, Dan Bonachea, Vivek Sarkar, R. K. Shyamasundar, Katherine A. Yelick Deadlock-free scheduling of X10 computations with bounded resources. Search on Bibsonomy SPAA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF deadlock-free scheduling, X10, active messages
15Guilherme Ottoni, Ram Rangan, Adam Stoler, Matthew J. Bridges, David I. August From sequential programs to concurrent threads. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jaeheon Jeong, Michel Dubois 0001 Cache Replacement Algorithms with Nonuniform Miss Costs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cache, power, latency, trace-driven simulations, memory system, replacement policy
15Zhiyi Yu, Bevan M. Baas Performance and Power Analysis of Globally Asynchronous Locally Synchronous Multi-Processor Systems. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Shih-Wei Liao, Zhaohui Du, Gansha Wu, Guei-Yuan Lueh Data and Computation Transformations for Brook Streaming Applications on Multiprocessors. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Engin Ipek, José F. Martínez, Bronis R. de Supinski, Sally A. McKee, Martin Schulz 0001 Dynamic program phase detection in distributed shared-memory multiprocessors. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Resit Sendag, Ayse Yilmazer, Joshua J. Yi, Augustus K. Uht Quantifying and reducing the effects of wrong-path memory references in cache-coherent multiprocessor systems. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Wenlong Li, Eric Q. Li, Nan Di, Carole Dulong, Tao Wang 0003, Yimin Zhang 0002 On Parallelization of a Video Mining System. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Wenlong Li, Eric Q. Li, Carole Dulong, Yen-Kuang Chen, Tao Wang 0003, Yimin Zhang 0002 Workload Characterization of a Parallel Video Mining Application on a 16-Way Shared-Memory Multiprocessor System. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Nasreddine Hireche, J. M. Pierre Langlois, Gabriela Nicolescu Survey of Biological High Performance Computing: Algorithms, Implementations and Outlook Research. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kaiyu Chen, Sharad Malik Dependable Multithreaded Processing Using Runtime Validation. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Daniela Alvim Seabra de Oliveira, Jedidiah R. Crandall, Gary Wassermann, Shyhtsun Felix Wu, Zhendong Su 0001, Frederic T. Chong ExecRecorder: VM-based full-system replay for attack analysis and system recovery. Search on Bibsonomy ASID The full citation details ... 2006 DBLP  DOI  BibTeX  RDF virtual machines, recovery, malware, worms, replay, invasive software
15Xiaoqi Yang 0003, Qilong Zheng, Guoliang Chen 0001, Zhen Yao Reverse Compilation for Speculative Parallel Threading. Search on Bibsonomy PDCAT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Andrea Di Blas, David M. Dahle, Mark Diekhans, Leslie Grate, Jeffrey D. Hirschberg, Kevin Karplus, Hansjörg Keller, Mark Kendrick, Francisco J. Mesa-Martinez, David Pease, Eric Rice, Angela Schultz, Don Speck, Richard Hughey The UCSC Kestrel Parallel Processor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI system design, image processing, Parallel processing, high performance computing, computer architecture, systolic array, SIMD, DNA, computational chemistry, biological sequence analysis
15Nathan Fisher, Sanjoy K. Baruah A Fully Polynomial-Time Approximation Scheme for Feasibility Analysis in Static-Priority Systems with Arbitrary Relative Deadlines. Search on Bibsonomy ECRTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Tali Moreshet, R. Iris Bahar, Maurice Herlihy Energy reduction in multiprocessor systems using transactional memory. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiprocessor, power, transactional memory
15Rafael Ramírez 0001, Andrew E. Santosa Formal Verification of Concurrent and Distributed Constraint-Based Java Programs. Search on Bibsonomy ICECCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15José María López, José Luis Díaz, Daniel F. García Utilization Bounds for EDF Scheduling on Real-Time Multiprocessor Systems. Search on Bibsonomy Real Time Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiprocessor utilization bounds, partitioning, multiprocessor scheduling, earliest deadline first scheduling, bin-packing problem
15Daehyun Kim, Mainak Chaudhuri, Mark A. Heinrich, Evan Speight Architectural Support for Uniprocessor and Multiprocessor Active Memory Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Active memory systems, address remapping, flexible memory controller architecture, distributed shared memory, cache coherence protocol
15José María López, José Luis Díaz, Daniel F. García Minimum and Maximum Utilization Bounds for Multiprocessor Rate Monotonic Scheduling. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Jim Smith 0001, Sandra de F. Mendes Sampaio, Paul Watson 0001, Norman W. Paton The Design, Implementation and Evaluation of an ODMG Compliant, Parallel Object Database Server. Search on Bibsonomy Distributed Parallel Databases The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ODBMS, parallel
15Rafael Ramírez 0001, Juanjo Martinez Constraint-Based Synchronization and Verification of Distributed Java Programs. Search on Bibsonomy ICLP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Robert F. Stärk, Egon Börger An ASM Specification of C# Threads and the .NET Memory Model. Search on Bibsonomy Abstract State Machines The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Ali El-Haj-Mahmoud, Eric Rotenberg Safely exploiting multithreaded processors to tolerate memory latency in real-time systems. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF real-time systems, multithreading, worst-case execution time, memory latency, schedulability test
15Joshua J. Pieper, Alain Mellan, JoAnn M. Paul, Donald E. Thomas, Faraydon Karim High level cache simulation for heterogeneous multiprocessors. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Performance, Design
15Xue-bai Zang, Xiong-fei Li, Kun Zhao, Xin Guan A Data Mining Algorithm Based on Grid. Search on Bibsonomy GCC (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Qingguang Huang, Jingling Xue, Xavier Vera Code Tiling for Improving the Cache Performance of PDE Solvers. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Tong Li 0003, Alvin R. Lebeck, Daniel J. Sorin Quantifying instruction criticality for shared memory multiprocessors. Search on Bibsonomy SPAA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF shared memory multiprocessors, slack, critical path analysis
15Sanjoy K. Baruah, Joël Goossens, Giuseppe Lipari Implementing Constant-Bandwidth Servers upon Multiprocessor Platform. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Hakan Aydin, Rami G. Melhem, Daniel Mossé, Pedro Mejía-Alvarez Optimal Reward-Based Scheduling for Periodic Real-Time Tasks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Real-time systems, imprecise computation, deadline scheduling, reward maximization, periodic task scheduling
15Dakai Zhu 0001, Rami G. Melhem, Bruce R. Childers Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multi-Processor Real-Time Systems. Search on Bibsonomy RTSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Luiz André Barroso, Kourosh Gharachorloo, Andreas Nowatzyk, Ben Verghese Impact of Chip-Level Integration on Performance of OLTP Workloads. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF chip-level integration, database workloads, multiprocessors, memory system performance
15Josep Torrellas, Liuxi Yang, Anthony-Trung Nguyen Toward a Cost-Effective DSM Organization That Exploits Processor-Memory Integration. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF directory controller, multiprocessor, reconfigurable, PIM, DSM, coherence protocol, NUMA, processor-in-memory, COMA
15LihChyun Shu, Michal Young Chopping and versioning real-time transactions to avoid remote blocking. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF real time transaction versioning, remote blocking, versioning protocols, chopping analysis, pure locking protocols, 1-version serializability, design time information, simple data structures, small bounded overheads, worst case access times, scheduling, real-time systems, protocols, concurrency control, multiprocessors, multiprocessing systems, transaction processing, configuration management, schedulability analysis, hard real time systems, critical sections, locking protocols, database concurrency control
15Chi-Keung Luk, Todd C. Mowry Automatic Compiler-Inserted Prefetching for Pointer-Based Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF pointer-based applications, performance evaluation, Caches, prefetching, compiler optimization, shared-memory multiprocessors, recursive data structures
15Kenneth J. Duda, David R. Cheriton Borrowed-virtual-time (BVT) scheduling: supporting latency-sensitive threads in a general-purpose schedular. Search on Bibsonomy SOSP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15William J. Dally, Steve Lacy VLSI Architecture: Past, Present, and Future. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Lucian Codrescu, Mondira Deb Pant, Tarek M. Taha, John Eble, D. Scott Wills, James D. Meindl Exploring Microprocessor Architectures for Gigascale Integration. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Billion Transistor, Future Tecnologies, Architecture, Microprocessors
15Krishna M. Kavi, Hyong-Shik Kim, Joseph Arul, Ali R. Hurson A Decoupled Scheduled Dataflow Multithreaded Architecture. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Decoupling of memory access, Separate synchronization processor, Multithreaded architecture, Memory wall, Dataflow architecture
15 Adventures in the Mainframe Trade. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Franco P. Preparata Should Amdahl's Law Be Repealed? (Abstract). Search on Bibsonomy ISAAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Montse Peiron, Mateo Valero, Eduard Ayguadé, Tomás Lang Vector Multiprocessors with Arbitrated Memory Access. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
15Robert Cooper Experience with Causally and Totally Ordered Communication Support, A cautionary tale. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Radhika Thekkath, Susan J. Eggers The Effectiveness of Multiple Hardware Contexts. Search on Bibsonomy ASPLOS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
15Steven K. Reinhardt, Mark D. Hill, James R. Larus, Alvin R. Lebeck, James C. Lewis, David A. Wood 0001 The Wisconsin Wind Tunnel: Virtual Prototyping of Parallel Computers. Search on Bibsonomy SIGMETRICS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
15Toshio Nakatani, Kemal Ebcioglu Using a lookahead window in a compaction-based parallelizing compiler. Search on Bibsonomy MICRO The full citation details ... 1990 DBLP  BibTeX  RDF
15Kai Li 0001, Jeffrey F. Naughton, James S. Plank Real-Time, Concurrent Checkpoint for Parallel Programs. Search on Bibsonomy PPoPP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF DEBUG
15Andrew W. Appel, John R. Ellis, Kai Li 0001 Real-Time Concurrent Collection on Stock Multiprocessors. Search on Bibsonomy PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF LISP, Modula-2
15Andrew W. Appel Real-time concurrent collection on stock multiprocessors (with retrospective) Search on Bibsonomy Best of PLDI The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Gordon Bell Future high performance computers. Search on Bibsonomy ICS The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Thomas L. Sterling, D. Scott Wills, Ellery Y. Chan Tokenless static data flow using associative templates. Search on Bibsonomy SC The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
15Karsten Schwan, Thomas E. Bihari, Bruce W. Weide, Gregor Taulbee High-Performance Operating System Primitives for Robotics and Real-Time Control Systems. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #94 of 94 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license