|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 137 occurrences of 111 keywords
|
|
|
Results
Found 94 publication records. Showing 94 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
89 | James H. Anderson, Rohit Jain, Srikanth Ramamurthy |
Wait-free object-sharing schemes for real-time uniprocessors and multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 18th IEEE Real-Time Systems Symposium (RTSS '97), December 3-5, 1997, San Francisco, CA, USA, pp. 111-122, 1997, IEEE Computer Society, 0-8186-8268-X. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
wait-free object-sharing schemes, real-time uniprocessors, real-time multiprocessors, priority inheritance protocol, breakdown utilization experiments, lock-based schemes, multiprocessing systems, nonblocking, user level, priority ceiling protocol |
46 | Brian N. Bershad, David D. Redell, John R. Ellis |
Fast Mutual Exclusion for Uniprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-V Proceedings - Fifth International Conference on Architectural Support for Programming Languages and Operating Systems, Boston, Massachusetts, USA, October 12-15, 1992., pp. 223-233, 1992, ACM Press, 0-89791-534-8. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
45 | Jochen Liedtke, Marcus Völp, Kevin Elphinstone |
Preliminary thoughts on memory-bus scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS European Workshop ![In: Proceedings of the 9th ACM SIGOPS European Workshop, Kolding, Denmark, September 17-20, 2000, pp. 207-210, 2000, ACM. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
45 | S. Muthukrishnan 0001, Rajmohan Rajaraman, Anthony Shaheen, Johannes Gehrke |
Online Scheduling to Minimize Average Stretch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FOCS ![In: 40th Annual Symposium on Foundations of Computer Science, FOCS '99, 17-18 October, 1999, New York, NY, USA, pp. 433-442, 1999, IEEE Computer Society, 0-7695-0409-4. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
scheduling, multiprocessors, online algorithms, competitive analysis |
43 | Sanjoy K. Baruah |
The Limited-Preemption Uniprocessor Scheduling of Sporadic Task Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 17th Euromicro Conference on Real-Time Systems (ECRTS 2005), 6-8 July 2005, Palma de Mallorca, Spain, Proceedings, pp. 137-144, 2005, IEEE Computer Society, 0-7695-2400-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
sporadic tesks, non-preemptive scheduling, critical sections, EDF scheduling, uniprocessors |
43 | Anthony-Trung Nguyen, Maged M. Michael, Arun Sharma, Josep Torrellas |
The Augmint multiprocessor simulation toolkit for Intel x86 architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1996 International Conference on Computer Design (ICCD '96), VLSI in Computers and Processors, October 7-9, 1996, Austin, TX, USA, Proceedings, pp. 486-490, 1996, IEEE Computer Society, 0-8186-7554-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Augmint multiprocessor simulation toolkit, Intel x86 architectures, publicly available simulation tools, instruction mix, memory reference patterns, CISC architectures, execution driven multiprocessor simulation toolkit, m4 macro extended C, C++ applications, SPLASH-2 benchmark suites, thread based programming model, shared global address space, private stack space, simulator interface, MINT simulation toolkit, x8d based uniprocessor systems, multiprocessing systems, trace driven simulation, architecture simulators, uniprocessors |
31 | Xiaozhe Gu |
Schedulability analysis and low-criticality execution support for mixed-criticality real-time systems on uniprocessors ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
2018 |
DOI RDF |
|
31 | Pontus Ekberg, Wang Yi 0001 |
Fixed-Priority Schedulability of Sporadic Tasks on Uniprocessors is NP-Hard. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: 2017 IEEE Real-Time Systems Symposium, RTSS 2017, Paris, France, December 5-8, 2017, pp. 139-146, 2017, IEEE Computer Society, 978-1-5386-1415-0. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
31 | Abhilash Thekkilakattil, Radu Dobrin, Sasikumar Punnekkat |
The limited-preemptive feasibility of real-time tasks on uniprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 51(3), pp. 247-273, 2015. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
31 | Luís Almeida 0001 |
Guest Editorial: From Uniprocessors to Multiprocessors: Advances in Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 49(4), pp. 401-403, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
31 | Muhammad Ali Awan, Patrick Meumeu Yomsi, Stefan M. Petters |
Optimal procrastination interval for constrained deadline sporadic tasks upon uniprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTNS ![In: 21st International Conference on Real-Time Networks and Systems, RTNS 2013, Sophia Antipolis, France, October 17-18, 2013, pp. 129-138, 2013, ACM, 978-1-4503-2058-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
31 | Mengjie Mao, Hong An, Bobin Deng, Tao Sun, Xuechao Wei, Wei Zhou, Wenting Han |
Distributed replay protocol for distributed uniprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: International Conference on Supercomputing, ICS'12, Venice, Italy, June 25-29, 2012, pp. 3-14, 2012, ACM, 978-1-4503-1316-2. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
31 | Tomas Kalibera, Filip Pizlo, Antony L. Hosking, Jan Vitek |
Scheduling real-time garbage collection on uniprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 29(3), pp. 8:1-8:29, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
31 | Behnam Robatmili, Madhu Saravana Sibi Govindan, Doug Burger, Stephen W. Keckler |
Exploiting criticality to reduce bottlenecks in distributed uniprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 17th International Conference on High-Performance Computer Architecture (HPCA-17 2011), February 12-16 2011, San Antonio, Texas, USA, pp. 431-442, 2011, IEEE Computer Society, 978-1-4244-9432-3. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
31 | Praveen Jayachandran, Tarek F. Abdelzaher |
Transforming Distributed Acyclic Systems into Equivalent Uniprocessors under Preemptive and Non-Preemptive Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 20th Euromicro Conference on Real-Time Systems, ECRTS 2008, 2-4 July 2008, Prague, Czech Republic, Proceedings, pp. 233-242, 2008, IEEE Computer Society, 978-0-7695-3298-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
31 | Zhao Zhang 0010, Xiaodong Zhang 0001 |
Fast Bit-Reversals on Uniprocessors and Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIAM J. Sci. Comput. ![In: SIAM J. Sci. Comput. 22(6), pp. 2113-2134, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Michel Cekleov, Michel Dubois 0001 |
Virtual-address caches. Part 1: problems and solutions in uniprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 17(5), pp. 64-71, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
31 | Alan H. Karp |
Bit Reversal on Uniprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIAM Rev. ![In: SIAM Rev. 38(1), pp. 1-26, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
31 | David Mosberger, Peter Druschel, Larry L. Peterson |
Implementing Atomic Sequences on Uniprocessors Using Rollforward. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Pract. Exp. ![In: Softw. Pract. Exp. 26(1), pp. 1-23, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
31 | Richard J. Eickemeyer, Ross E. Johnson, Steven R. Kunkel, Mark S. Squillante, Shiafun Liu |
Evaluation of Multithreaded Uniprocessors for Commercial Application Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 203-212, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
31 | Josep Lluís Larriba-Pey, Angel Jorba, Juan J. Navarro |
A Parallel Tridiagonal Solver for Vector Uniprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPSC ![In: Proceedings of the Sixth SIAM Conference on Parallel Processing for Scientific Computing, PPSC 1993, Norfolk, Virginia, USA, March 22-24, 1993, pp. 590-597, 1993, SIAM, 0-89871-315-3. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP BibTeX RDF |
|
31 | Steve Gregory, Ian T. Foster, Alastair D. Burt, Graem A. Ringwood |
An Abstract Machine for the Implementation of PARLOG on Uniprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
New Gener. Comput. ![In: New Gener. Comput. 6(4), pp. 389-420, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
30 | Luke K. McDowell, Susan J. Eggers, Steven D. Gribble |
Improving server software support for simultaneous multithreaded processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2003, June 11-13, 2003, San Diego, CA, USA, pp. 37-48, 2003, ACM, 1-58113-588-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
servers, simultaneous multithreading, runtime support |
30 | Ananth Grama, Vipin Kumar 0001, Sanjay Ranka, Vineet Singh |
Architecture Independent Analysis of Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (2) ![In: Computational Science - ICCS 2001, International Conference, San Francisco, CA, USA, May 28-30, 2001. Proceedings, Part II, pp. 599-608, 2001, Springer, 3-540-42233-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
30 | Richard F. Rashid, Avadis Tevanian, Michael Young, David B. Golub, Robert V. Baron, David L. Black 0001, William J. Bolosky, Jonathan Chew |
Machine-Independent Virtual Memory Management for Paged Uniprocessor and Multiprocessor Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the Second International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS II), Palo Alto, California, USA, October 5-8, 1987., pp. 31-39, 1987, ACM Press, 0-8186-0805-6. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
28 | Nathan Fisher, Farhana Dewan |
Approximate Bandwidth Allocation for Compositional Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 21st Euromicro Conference on Real-Time Systems, ECRTS 2009, Dublin, Ireland, July 1-3, 2009, pp. 87-96, 2009, IEEE Computer Society, 978-0-7695-3724-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Interface Bandwidth, Approximation Algorithms, Compositional Analysis, Uniprocessors |
28 | Josep Lluís Larriba-Pey, Juan J. Navarro, Oriol Roig, Angel Jorba |
A generalized vision of some parallel bidiagonal systems solvers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Supercomputing ![In: Proceedings of the 8th international conference on Supercomputing, ICS 1994, Manchester, UK, July 11-15, 1994, pp. 404-411, 1994, ACM, 0-89791-665-4. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
r-cyclic reduction, vector uniprocessors, divide and conquer, Gaussian elimination, linear recurrences |
15 | Abhishek Bhattacharjee, Margaret Martonosi |
Inter-core cooperative TLB for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 359-370, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
parallelism, prefetching, translation lookaside buffer |
15 | Derek Hower, Pablo Montesinos, Luis Ceze, Mark D. Hill, Josep Torrellas |
Two hardware-based approaches for deterministic multiprocessor replay. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 52(6), pp. 93-100, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
15 | John H. Reppy, Claudio V. Russo, Yingqi Xiao |
Parallel concurrent ML. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceeding of the 14th ACM SIGPLAN international conference on Functional programming, ICFP 2009, Edinburgh, Scotland, UK, August 31 - September 2, 2009, pp. 257-268, 2009, ACM, 978-1-60558-332-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
parallelism, concurrency, message passing |
15 | Thidapat Chantem, Xiaobo Sharon Hu, Robert P. Dick |
Online work maximization under a peak temperature constraint. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 105-110, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
temperature-constraint optimization, performance, reliability, DVFS |
15 | Praveen Jayachandran, Tarek F. Abdelzaher |
Delay composition in preemptive and non-preemptive real-time pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 40(3), pp. 290-320, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Pipelined distributed systems, Delay composition, Schedulability, End-to-end delay |
15 | Alejandro Masrur, Sebastian Drössler, Georg Färber |
Improvements in Polynomial-Time Feasibility Testing for EDF. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 1033-1038, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
15 | Wolfgang Puffitsch |
Decoupled root scanning in multi-processor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 91-98, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
real-time, garbage collection, multi-processor |
15 | Jeffrey R. Diamond, Behnam Robatmili, Stephen W. Keckler, Robert A. van de Geijn, Kazushige Goto, Doug Burger |
High performance dense linear algebra on a spatially distributed processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 13th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2008, Salt Lake City, UT, USA, February 20-23, 2008, pp. 63-72, 2008, ACM, 978-1-59593-795-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
gotoblas, grid processors, hybrid dataflow, matrix multiply, tile based architecture, instruction level parallelism, on-chip networks, dense linear algebra |
15 | Dimitris Gizopoulos, Robert C. Aitken, Sandip Kundu |
Guest Editorial: Special Section on "Autonomous Silicon Validation and Testing of Microprocessors and Microprocessor-Based Systems". ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 15(5), pp. 493-494, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Jinpeng Wei, Calton Pu |
Multiprocessors May Reduce System Dependability under File-Based Race Condition Attacks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: The 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2007, 25-28 June 2007, Edinburgh, UK, Proceedings, pp. 358-367, 2007, IEEE Computer Society, 0-7695-2855-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Probabilistic Modeling, Race Condition |
15 | Praveen Jayachandran, Tarek F. Abdelzaher |
A Delay Composition Theorem for Real-Time Pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 19th Euromicro Conference on Real-Time Systems, ECRTS'07, 4-6 July 2007, Pisa, Italy, Proceedings, pp. 29-38, 2007, IEEE Computer Society, 978-0-7695-2914-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Filip Pizlo, Daniel Frampton, Erez Petrank, Bjarne Steensgaard |
Stopless: a real-time garbage collector for multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMM ![In: Proceedings of the 6th International Symposium on Memory Management, ISMM 2007, Montreal, Quebec, Canada, October 21-22, 2007, pp. 159-172, 2007, ACM, 978-1-59593-893-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
real-time, concurrency, garbage collection, lock-free |
15 | Athanasios Milidonis, Nikolaos Alachiotis 0002, Vasileios Porpodas, Haralambos Michail, Athanasios Kakarountas, Constantinos E. Goutis |
Interactive presentation: A decoupled architecture of processors with scratch-pad memory hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 612-617, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Rafael Ramírez 0001, Andrew E. Santosa |
A Framework for Separation of Concerns in Concurrent Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC (2) ![In: 31st Annual International Computer Software and Applications Conference, COMPSAC 2007, Beijing, China, July 24-27, 2007. Volume 2, pp. 619-628, 2007, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
15 | Krzysztof Rzadca |
Scheduling in Multi-organization Grids: Measuring the Inefficiency of Decentralization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM ![In: Parallel Processing and Applied Mathematics, 7th International Conference, PPAM 2007, Gdansk, Poland, September 9-12, 2007, Revised Selected Papers, pp. 1048-1058, 2007, Springer, 978-3-540-68105-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
scheduling, grid, game theory, fairness, multi-objective optimization |
15 | Shivali Agarwal, Rajkishore Barik, Dan Bonachea, Vivek Sarkar, R. K. Shyamasundar, Katherine A. Yelick |
Deadlock-free scheduling of X10 computations with bounded resources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2007: Proceedings of the 19th Annual ACM Symposium on Parallelism in Algorithms and Architectures, San Diego, California, USA, June 9-11, 2007, pp. 229-240, 2007, ACM, 978-1-59593-667-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
deadlock-free scheduling, X10, active messages |
15 | Guilherme Ottoni, Ram Rangan, Adam Stoler, Matthew J. Bridges, David I. August |
From sequential programs to concurrent threads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Comput. Archit. Lett. ![In: IEEE Comput. Archit. Lett. 5(1), pp. 6-9, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Jaeheon Jeong, Michel Dubois 0001 |
Cache Replacement Algorithms with Nonuniform Miss Costs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(4), pp. 353-365, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Cache, power, latency, trace-driven simulations, memory system, replacement policy |
15 | Zhiyi Yu, Bevan M. Baas |
Performance and Power Analysis of Globally Asynchronous Locally Synchronous Multi-Processor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2006 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2006), 2-3 March 2006, Karlsruhe, Germany, pp. 378-383, 2006, IEEE Computer Society, 0-7695-2533-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Shih-Wei Liao, Zhaohui Du, Gansha Wu, Guei-Yuan Lueh |
Data and Computation Transformations for Brook Streaming Applications on Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Fourth IEEE/ACM International Symposium on Code Generation and Optimization (CGO 2006), 26-29 March 2006, New York, New York, USA, pp. 196-207, 2006, IEEE Computer Society, 0-7695-2499-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Engin Ipek, José F. Martínez, Bronis R. de Supinski, Sally A. McKee, Martin Schulz 0001 |
Dynamic program phase detection in distributed shared-memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Resit Sendag, Ayse Yilmazer, Joshua J. Yi, Augustus K. Uht |
Quantifying and reducing the effects of wrong-path memory references in cache-coherent multiprocessor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Wenlong Li, Eric Q. Li, Nan Di, Carole Dulong, Tao Wang 0003, Yimin Zhang 0002 |
On Parallelization of a Video Mining System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICME ![In: Proceedings of the 2006 IEEE International Conference on Multimedia and Expo, ICME 2006, July 9-12 2006, Toronto, Ontario, Canada, pp. 21-24, 2006, IEEE Computer Society, 1-4244-0367-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Wenlong Li, Eric Q. Li, Carole Dulong, Yen-Kuang Chen, Tao Wang 0003, Yimin Zhang 0002 |
Workload Characterization of a Parallel Video Mining Application on a 16-Way Shared-Memory Multiprocessor System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IISWC ![In: Proceedings of the 2006 IEEE International Symposium on Workload Characterization, IISWC 2006, October 25-27, 2006, San Jose, California, USA, pp. 7-16, 2006, IEEE Computer Society, 1-4244-0508-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Nasreddine Hireche, J. M. Pierre Langlois, Gabriela Nicolescu |
Survey of Biological High Performance Computing: Algorithms, Implementations and Outlook Research. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCECE ![In: Proceedings of the Canadian Conference on Electrical and Computer Engineering, CCECE 2006, May 7-10, 2006, Ottawa Congress Centre, Ottawa, Canada, pp. 1926-1929, 2006, IEEE, 1-4244-0038-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Kaiyu Chen, Sharad Malik |
Dependable Multithreaded Processing Using Runtime Validation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 12th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2006), 18-20 December, 2006, University of California, Riverside, USA, pp. 275-286, 2006, IEEE Computer Society, 0-7695-2724-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Daniela Alvim Seabra de Oliveira, Jedidiah R. Crandall, Gary Wassermann, Shyhtsun Felix Wu, Zhendong Su 0001, Frederic T. Chong |
ExecRecorder: VM-based full-system replay for attack analysis and system recovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASID ![In: Proceedings of the 1st Workshop on Architectural and System Support for Improving Software Dependability, ASID 2006, San Jose, California, USA, October 21, 2006, pp. 66-71, 2006, ACM, 1-59593-576-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
virtual machines, recovery, malware, worms, replay, invasive software |
15 | Xiaoqi Yang 0003, Qilong Zheng, Guoliang Chen 0001, Zhen Yao |
Reverse Compilation for Speculative Parallel Threading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Seventh International Conference on Parallel and Distributed Computing, Applications and Technologies (PDCAT 2006), 4-7 December 2006, Taipei, Taiwan, pp. 138-143, 2006, IEEE Computer Society, 0-7695-2736-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
15 | Andrea Di Blas, David M. Dahle, Mark Diekhans, Leslie Grate, Jeffrey D. Hirschberg, Kevin Karplus, Hansjörg Keller, Mark Kendrick, Francisco J. Mesa-Martinez, David Pease, Eric Rice, Angela Schultz, Don Speck, Richard Hughey |
The UCSC Kestrel Parallel Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 16(1), pp. 80-92, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
VLSI system design, image processing, Parallel processing, high performance computing, computer architecture, systolic array, SIMD, DNA, computational chemistry, biological sequence analysis |
15 | Nathan Fisher, Sanjoy K. Baruah |
A Fully Polynomial-Time Approximation Scheme for Feasibility Analysis in Static-Priority Systems with Arbitrary Relative Deadlines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 17th Euromicro Conference on Real-Time Systems (ECRTS 2005), 6-8 July 2005, Palma de Mallorca, Spain, Proceedings, pp. 117-126, 2005, IEEE Computer Society, 0-7695-2400-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | Tali Moreshet, R. Iris Bahar, Maurice Herlihy |
Energy reduction in multiprocessor systems using transactional memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005, pp. 331-334, 2005, ACM, 1-59593-137-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
multiprocessor, power, transactional memory |
15 | Rafael Ramírez 0001, Andrew E. Santosa |
Formal Verification of Concurrent and Distributed Constraint-Based Java Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 10th International Conference on Engineering of Complex Computer Systems (ICECCS 2005), 16-20 June 2005, Shanghai, China, pp. 76-84, 2005, IEEE Computer Society, 0-7695-2284-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
15 | José María López, José Luis Díaz, Daniel F. García |
Utilization Bounds for EDF Scheduling on Real-Time Multiprocessor Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 28(1), pp. 39-68, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
multiprocessor utilization bounds, partitioning, multiprocessor scheduling, earliest deadline first scheduling, bin-packing problem |
15 | Daehyun Kim, Mainak Chaudhuri, Mark A. Heinrich, Evan Speight |
Architectural Support for Uniprocessor and Multiprocessor Active Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 53(3), pp. 288-307, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Active memory systems, address remapping, flexible memory controller architecture, distributed shared memory, cache coherence protocol |
15 | José María López, José Luis Díaz, Daniel F. García |
Minimum and Maximum Utilization Bounds for Multiprocessor Rate Monotonic Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(7), pp. 642-653, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Jim Smith 0001, Sandra de F. Mendes Sampaio, Paul Watson 0001, Norman W. Paton |
The Design, Implementation and Evaluation of an ODMG Compliant, Parallel Object Database Server. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Distributed Parallel Databases ![In: Distributed Parallel Databases 16(3), pp. 275-319, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
ODBMS, parallel |
15 | Rafael Ramírez 0001, Juanjo Martinez |
Constraint-Based Synchronization and Verification of Distributed Java Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICLP ![In: Logic Programming, 20th International Conference, ICLP 2004, Saint-Malo, France, September 6-10, 2004, Proceedings, pp. 473-474, 2004, Springer, 3-540-22671-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Robert F. Stärk, Egon Börger |
An ASM Specification of C# Threads and the .NET Memory Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Abstract State Machines ![In: Abstract State Machines 2004. Advances in Theory and Practice, 11th International Workshop, ASM 2004, Lutherstadt Wittenberg, Germany, May 24-28, 2004. Proceedings, pp. 38-60, 2004, Springer, 3-540-22094-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
15 | Ali El-Haj-Mahmoud, Eric Rotenberg |
Safely exploiting multithreaded processors to tolerate memory latency in real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2004, Washington DC, USA, September 22 - 25, 2004, pp. 2-13, 2004, ACM, 1-58113-890-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
real-time systems, multithreading, worst-case execution time, memory latency, schedulability test |
15 | Joshua J. Pieper, Alain Mellan, JoAnn M. Paul, Donald E. Thomas, Faraydon Karim |
High level cache simulation for heterogeneous multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 287-292, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Performance, Design |
15 | Xue-bai Zang, Xiong-fei Li, Kun Zhao, Xin Guan |
A Data Mining Algorithm Based on Grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GCC (2) ![In: Grid and Cooperative Computing, Second International Workshop, GCC 2003, Shanghai, China, December 7-10, 2003, Revised Papers, Part II, pp. 807-810, 2003, Springer, 3-540-21993-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Qingguang Huang, Jingling Xue, Xavier Vera |
Code Tiling for Improving the Cache Performance of PDE Solvers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: 32nd International Conference on Parallel Processing (ICPP 2003), 6-9 October 2003, Kaohsiung, Taiwan, pp. 615-, 2003, IEEE Computer Society, 0-7695-2017-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
15 | Tong Li 0003, Alvin R. Lebeck, Daniel J. Sorin |
Quantifying instruction criticality for shared memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2003: Proceedings of the Fifteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, June 7-9, 2003, San Diego, California, USA (part of FCRC 2003), pp. 128-137, 2003, ACM, 1-58113-661-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
shared memory multiprocessors, slack, critical path analysis |
15 | Sanjoy K. Baruah, Joël Goossens, Giuseppe Lipari |
Implementing Constant-Bandwidth Servers upon Multiprocessor Platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: Proceedings of the 8th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2002), 24-27 September 2002, San Jose, CA, USA, pp. 154-163, 2002, IEEE Computer Society, 0-7695-1739-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
15 | Hakan Aydin, Rami G. Melhem, Daniel Mossé, Pedro Mejía-Alvarez |
Optimal Reward-Based Scheduling for Periodic Real-Time Tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(2), pp. 111-130, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Real-time systems, imprecise computation, deadline scheduling, reward maximization, periodic task scheduling |
15 | Dakai Zhu 0001, Rami G. Melhem, Bruce R. Childers |
Scheduling with Dynamic Voltage/Speed Adjustment Using Slack Reclamation in Multi-Processor Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 22nd IEEE Real-Time Systems Symposium (RTSS 2001), London, UK, 2-6 December 2001, pp. 84-94, 2001, IEEE Computer Society, 0-7695-1420-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
15 | Luiz André Barroso, Kourosh Gharachorloo, Andreas Nowatzyk, Ben Verghese |
Impact of Chip-Level Integration on Performance of OLTP Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 3-14, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
chip-level integration, database workloads, multiprocessors, memory system performance |
15 | Josep Torrellas, Liuxi Yang, Anthony-Trung Nguyen |
Toward a Cost-Effective DSM Organization That Exploits Processor-Memory Integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 15-25, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
directory controller, multiprocessor, reconfigurable, PIM, DSM, coherence protocol, NUMA, processor-in-memory, COMA |
15 | LihChyun Shu, Michal Young |
Chopping and versioning real-time transactions to avoid remote blocking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 7th International Workshop on Real-Time Computing and Applications Symposium (RTCSA 2000), 12-14 December 2000, Cheju Island, South Korea, pp. 93-101, 2000, IEEE Computer Society, 0-7695-0930-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
real time transaction versioning, remote blocking, versioning protocols, chopping analysis, pure locking protocols, 1-version serializability, design time information, simple data structures, small bounded overheads, worst case access times, scheduling, real-time systems, protocols, concurrency control, multiprocessors, multiprocessing systems, transaction processing, configuration management, schedulability analysis, hard real time systems, critical sections, locking protocols, database concurrency control |
15 | Chi-Keung Luk, Todd C. Mowry |
Automatic Compiler-Inserted Prefetching for Pointer-Based Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 48(2), pp. 134-141, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
pointer-based applications, performance evaluation, Caches, prefetching, compiler optimization, shared-memory multiprocessors, recursive data structures |
15 | Kenneth J. Duda, David R. Cheriton |
Borrowed-virtual-time (BVT) scheduling: supporting latency-sensitive threads in a general-purpose schedular. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 17th ACM Symposium on Operating System Principles, SOSP 1999, Kiawah Island Resort, near Charleston, South Carolina, USA, December 12-15, 1999, pp. 261-276, 1999, ACM, 1-58113-140-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
15 | William J. Dally, Steve Lacy |
VLSI Architecture: Past, Present, and Future. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARVLSI ![In: 18th Conference on Advanced Research in VLSI (ARVLSI '99), 21-24 March 1999, Atlanta, GA, USA, pp. 232-241, 1999, IEEE Computer Society, 0-7695-0056-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
15 | Lucian Codrescu, Mondira Deb Pant, Tarek M. Taha, John Eble, D. Scott Wills, James D. Meindl |
Exploring Microprocessor Architectures for Gigascale Integration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARVLSI ![In: 18th Conference on Advanced Research in VLSI (ARVLSI '99), 21-24 March 1999, Atlanta, GA, USA, pp. 242-255, 1999, IEEE Computer Society, 0-7695-0056-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Billion Transistor, Future Tecnologies, Architecture, Microprocessors |
15 | Krishna M. Kavi, Hyong-Shik Kim, Joseph Arul, Ali R. Hurson |
A Decoupled Scheduled Dataflow Multithreaded Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 1999 International Symposium on Parallel Architectures, Algorithms and Networks (ISPAN '99), 23-25 June 1999, Fremantle, Australia, pp. 138-143, 1999, IEEE Computer Society, 0-7695-0231-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Decoupling of memory access, Separate synchronization processor, Multithreaded architecture, Memory wall, Dataflow architecture |
15 | |
Adventures in the Mainframe Trade. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 14(2), pp. 5-13, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
15 | Franco P. Preparata |
Should Amdahl's Law Be Repealed? (Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISAAC ![In: Algorithms and Computation, 6th International Symposium, ISAAC '95, Cairns, Australia, December 4-6, 1995, Proceedings, pp. 311, 1995, Springer, 3-540-60573-8. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
15 | Montse Peiron, Mateo Valero, Eduard Ayguadé, Tomás Lang |
Vector Multiprocessors with Arbitrated Memory Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 243-252, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
15 | Robert Cooper |
Experience with Causally and Totally Ordered Communication Support, A cautionary tale. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 28(1), pp. 28-31, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
15 | Radhika Thekkath, Susan J. Eggers |
The Effectiveness of Multiple Hardware Contexts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VI Proceedings - Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 4-7, 1994., pp. 328-337, 1994, ACM Press, 0-89791-660-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
15 | Steven K. Reinhardt, Mark D. Hill, James R. Larus, Alvin R. Lebeck, James C. Lewis, David A. Wood 0001 |
The Wisconsin Wind Tunnel: Virtual Prototyping of Parallel Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1993 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Santa Clara, California, USA, May 10-14, 1993, pp. 48-60, 1993, ACM, 0-89791-580-1. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
15 | Toshio Nakatani, Kemal Ebcioglu |
Using a lookahead window in a compaction-based parallelizing compiler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 23rd Annual Workshop and Symposium on Microprogramming and Microarchitecture, 1990, Orlando, Florida, USA, November 27-29, 1990, pp. 57-68, 1990, ACM/IEEE, 0-89791-413-9. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP BibTeX RDF |
|
15 | Kai Li 0001, Jeffrey F. Naughton, James S. Plank |
Real-Time, Concurrent Checkpoint for Parallel Programs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the Second ACM SIGPLAN Symposium on Princiles & Practice of Parallel Programming (PPOPP), Seattle, Washington, USA, March 14-16, 1990, pp. 79-88, 1990, ACM, 0-89791-350-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
DEBUG |
15 | Andrew W. Appel, John R. Ellis, Kai Li 0001 |
Real-Time Concurrent Collection on Stock Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'88 Conference on Programming Language Design and Implementation (PLDI), Atlanta, Georgia, USA, June 22-24, 1988, pp. 11-20, 1988, ACM, 0-89791-269-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
LISP, Modula-2 |
15 | Andrew W. Appel |
Real-time concurrent collection on stock multiprocessors (with retrospective) ![Search on Bibsonomy](Pics/bibsonomy.png) |
Best of PLDI ![In: 20 Years of the ACM SIGPLAN Conference on Programming Language Design and Implementation 1979-1999, A Selection, pp. 205-216, 1988, ACM, 1-58113-623-4. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
15 | Gordon Bell |
Future high performance computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 2nd international conference on Supercomputing, ICS 1988, Saint Malo, France, July 4-8, 1988, pp. 525-526, 1988, ACM, 0-89791-272-1. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
15 | Thomas L. Sterling, D. Scott Wills, Ellery Y. Chan |
Tokenless static data flow using associative templates. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings Supercomputing '88, Orlando, FL, USA, November 12-17, 1988, pp. 70-79, 1988, IEEE Computer Society, 0-8186-0882-X. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
15 | Karsten Schwan, Thomas E. Bihari, Bruce W. Weide, Gregor Taulbee |
High-Performance Operating System Primitives for Robotics and Real-Time Control Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 5(3), pp. 189-231, 1987. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #94 of 94 (100 per page; Change: )
|
|