The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase write-back (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1998 (16) 1999-2003 (15) 2004-2006 (21) 2007-2009 (21) 2010-2017 (17) 2018-2023 (15)
Publication types (Num. hits)
article(33) inproceedings(72)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 97 occurrences of 77 keywords

Results
Found 105 publication records. Showing 105 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
90Farnaz Mounes-Toussi, David J. Lilja Write buffer design for cache-coherent shared-memory multiprocessors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies
76Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
65Seung-Ho Lim, Sung Hoon Baek, Joo Young Hwang, Kyu Ho Park Write Back Routine for JFFS2 Efficient I/O. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Wee Teck Ng, Peter M. Chen The Systematic Improvement of Fault Tolerance in the Rio File Cache. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF write-back file cache, reliable memory, software fault injection
46Haakon Dybdahl, Marius Grannæs, Lasse Natvig Cache Write-Back Schemes for Embedded Destructive-Read DRAM. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Peter M. Chen, Wee Teck Ng, Subhachandra Chandra, Christopher M. Aycock, Gurushankar Rajamani, David E. Lowell The Rio File Cache: Surviving Operating System Crashes. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
38James G. Thompson, Alan Jay Smith Efficient (Stack) Algorithms for Analysis of Write-Back and Sector Machines. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
34Srivatsan Narasimhan, Sohum Sohoni, Yiming Hu A Log-Based Write-Back Mechanism for Cooperative Caching. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Write Performance, Networks of Workstations, Distributed Architectures, Cooperative Caching, Disk Caching
33Peng Li 0031, Dongsheng Wang 0002, Songliu Guo, Tao Tian, Weimin Zheng Live Range Aware Cache Architecture. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Live Range, Cache, Memory Hierarchy
33Shen-Fu Hsiao, Sze-Yun Lin, Tze-Chong Cheng, Ming-Yu Tsai An Automatic Cache Generator Based on Content-Addressable Memory. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Yu-Pei Liang, Tseng-Yi Chen, Yuan-Hao Chang 0001, Shuo-Han Chen, Pei-Yu Chen, Wei-Kuan Shih Rethinking Last-level-cache Write-back Strategy for MLC STT-RAM Main Memory with Asymmetric Write Energy. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Ghasem Pasandi, Massoud Pedram Internal write-back and read-before-write schemes to eliminate the disturbance to the half-selected cells in SRAMs. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Nam Sung Kim, Trevor N. Mudge The microarchitecture of a low power register file. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write queue, low power, instruction level parallelism, register file, out-of-order processor
30Qi Zhao 0006, Jun (Jim) Xu, Zhen Liu Design of a novel statistics counter architecture with optimal space and time efficiency. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2006 DBLP  DOI  BibTeX  RDF statistics counter, data streaming, router
29Javier García Blas, Florin Isaila, Jesús Carretero 0001, Robert Latham, Robert B. Ross Multiple-Level MPI File Write-Back and Prefetching for Blue Gene Systems. Search on Bibsonomy PVM/MPI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Parallel I/O, Supercomputers, Parallel File Systems, MPI-IO
29Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi Fault Tolerant and Low Energy Write-Back Heterogeneous Set Associative Cache for DSM Technologies. Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Wei Zhang 0002 Computing Cache Vulnerability to Transient Errors and Its Implication. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Wee Teck Ng, Peter M. Chen The Design and Verification of the Rio File Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF reliable main memory, File systems, software fault injection
27Jeanna N. Matthews, Sanjeev N. Trika, Debra Hensgen, Rick Coulson, Knut Grimsrud Intel Turbo Memory: Nonvolatile disk caches in the storage hierarchy of mainstream computer systems. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2008 DBLP  DOI  BibTeX  RDF NAND, write-back, solid-state disk, disk cache, Nonvolatile memory
27Wei Zhang 0002 Replication Cache: A Small Fully Associative Cache to Improve Data Cache Reliability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF write-back cache, in-cache replication, Soft error
27Wei Zhang 0002 Enhancing data cache reliability by the addition of a small fully-associative replication cache. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF in-cache replication, write-back cache, soft error
27Amer Diwan, David Tarditi, J. Eliot B. Moss Memory System Performance of Programs with Intensive Heap Allocation Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer
27Qing Yang 0001, Laxmi N. Bhuyan, Bao-Chyn Liu Analysis and Comparison of Cache Coherence Protocols for a Packet-Switched Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF packet-switched multiprocessor, Write-Once, Write-Through, Berkeley, Illinois, Firefly, Dragon, invalidation signals, write-back, protocols, queueing theory, packet switching, multiprocessing systems, analytical models, cache coherence protocols, queuing networks, mean value analysis, Synapse
23Jongmin Lee 0002, Soontae Kim An energy-delay efficient 2-level data cache architecture for embedded system. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 2-level data cache, early cache hit predictor, one-way write
23Timothy P. Mann, Andrew Birrell, Andy Hisgen, Charles Jerian, Garret Swart A Coherent Distributed File Cache with Directory Write-Behind. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF write-behind, coherence, file caching
20Wenjun Tang, Mingyen Lee, Juejian Wu, Yixin Xu, Yao Yu, Yongpan Liu, Kai Ni 0004, Yu Wang 0002, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li FeFET-Based Logic-in-Memory Supporting SA-Free Write-Back and Fully Dynamic Access With Reduced Bitline Charging Activity and Recycled Bitline Charge. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
20Siyuan Sheng, Huancheng Puyang, Qun Huang 0001, Lu Tang 0004, Patrick P. C. Lee FarReach: Write-back Caching in Programmable Switches. Search on Bibsonomy USENIX Annual Technical Conference The full citation details ... 2023 DBLP  BibTeX  RDF
20Jongmin Lee, Jun-Yeon Lee, Taeweon Suh, Gunjae Koo CacheRewinder: Revoking Speculative Cache Updates Exploiting Write-Back Buffer. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Yingjin Qian, Wen Cheng, Lingfang Zeng, Marc-André Vef, Oleg Drokin, Andreas Dilger, Shuichi Ihara, Wusheng Zhang, Yang Wang 0006, André Brinkmann MetaWBC: POSIX-Compliant Metadata Write-Back Caching for Distributed File Systems. Search on Bibsonomy SC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Shahram Ghandeharizadeh, Haoyu Huang, Hieu Nguyen Boosting OLTP Performance Using Write-Back Client-Side Caches. Search on Bibsonomy Trans. Large Scale Data Knowl. Centered Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Byungkyu Song, Sehee Lim, Seung-Hyuk Kang, Seong-Ook Jung Environmental-Variation-Tolerant Magnetic Tunnel Junction-Based Physical Unclonable Function Cell With Auto Write-Back Technique. Search on Bibsonomy IEEE Trans. Inf. Forensics Secur. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
20Hiroaki Hirata, Atsushi Nunome Decoupling Computation and Result Write-Back for Thread-Level Parallelization. Search on Bibsonomy Int. J. Softw. Innov. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Jiacheng Ni, Keren Liu, Bi Wu, Weisheng Zhao, Yuanqing Cheng, Xiaolong Zhang, Ying Wang 0001 Write Back Energy Optimization for STT-MRAM-based Last-level Cache with Data Pattern Characterization. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Mingyen Lee, Wenjun Tang, Bowen Xue, Juejian Wu, Mingyuan Ma, Yu Wang 0002, Yongpan Liu, Deliang Fan, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li FeFET-based low-power bitwise logic-in-memory with direct write-back and data-adaptive dynamic sensing interface. Search on Bibsonomy ISLPED The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
20Chenlin Ma, Zhaoyan Shen, Yi Wang 0003, Zili Shao Alleviating Hot Data Write Back Effect for Shingled Magnetic Recording Storage Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Shahram Ghandeharizadeh, Hieu Nguyen Design, Implementation, and Evaluation of Write-Back Policy with Cache Augmented Data Stores. (PDF / PS) Search on Bibsonomy Proc. VLDB Endow. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Robert I. Davis 0001, Sebastian Altmeyer, Jan Reineke 0001 Response-time analysis for fixed-priority systems with a write-back cache. Search on Bibsonomy Real Time Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Tseng-Yi Chen, Yuan-Hao Chang 0001, Yuan-Hung Kuan, Ming-Chang Yang, Yu-Ming Chang, Pi-Cheng Hsiu Enhancing Flash Memory Reliability by Jointly Considering Write-back Pattern and Block Endurance. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
20Tobias Blaß, Sebastian Hahn 0001, Jan Reineke 0001 Write-Back Caches in WCET Analysis. Search on Bibsonomy ECRTS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Atsushi Koshiba, Takahiro Hirofuchi, Soramichi Akiyama, Ryousei Takano, Mitaro Namiki Towards write-back aware software emulator for non-volatile memory. Search on Bibsonomy NVMSA The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Jörg Pfähler, Gidon Ernst, Stefan Bodenmüller, Gerhard Schellhorn, Wolfgang Reif Modular Verification of Order-Preserving Write-Back Caches. Search on Bibsonomy IFM The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
20Bo Wang 0020, Qi Li, Tony Tae-Hyoung Kim Read Bitline Sensing and Fast Local Write-Back Techniques in Hierarchical Bitline Architecture for Ultralow-Voltage SRAMs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Robert I. Davis 0001, Sebastian Altmeyer, Jan Reineke 0001 Analysis of Write-back Caches under Fixed-priority Preemptive and Non-preemptive Scheduling. Search on Bibsonomy RTNS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Hung-Sheng Chang, Yuan-Hao Chang 0001, Yuan-Hung Kuan, Xiang-Zhi Huang, Tei-Wei Kuo, Hsiang-Pang Li Pattern-aware write-back strategy to minimize energy consumption of PCM-based storage systems. Search on Bibsonomy NVMSA The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Deshan Zhang, Lei Ju 0001, Mengying Zhao, Xiang Gao 0012, Zhiping Jia Write-back aware shared last-level cache management for hybrid main memory. Search on Bibsonomy DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Matthew M. Kim, Karl M. Fant, Paul Beckett Design of asynchronous RISC CPU register-file Write-Back queue. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Yohan Ko, Reiley Jeyapaul, Youngbin Kim, Kyoungwoo Lee, Aviral Shrivastava Guidelines to design parity protected write-back L1 data cache. Search on Bibsonomy DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
20Wei Zhang 0032, Ki Chul Chun, Chris H. Kim A Write-Back-Free 2T1D Embedded DRAM With Local Voltage Sensing and a Dual-Row-Access Low Power Mode. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
20Qi Li, Bo Wang 0020, Tony T. Kim A 5.61 pJ, 16 kb 9T SRAM with single-ended equalized bitlines and fast local write-back for cell stability improvement. Search on Bibsonomy ESSDERC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Wei Zhang 0032, Ki Chul Chun, Chris H. Kim A write-back-free 2T1D embedded DRAM with local voltage sensing and a dual-row-access low power mode. Search on Bibsonomy CICC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Tahseen Shakir, Manoj Sachdev A read-assist write-back voltage sense amplifier for low voltage-operated SRAMs. Search on Bibsonomy SoCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
20Jui-Jen Wu, Yen-Hui Chen, Meng-Fan Chang, Po-Wei Chou, Chien-Yuan Chen, Hung-Jen Liao, Ming-Bin Chen, Yuan-Hua Chu, Wen-Chin Wu, Hiroyuki Yamauchi A Large Sigma V TH /VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Pieter Bellens, Josep M. Pérez, Rosa M. Badia, Jesús Labarta Making the Best of Temporal Locality: Just-in-Time Renaming and Lazy Write-Back on the Cell/B.E. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
20Javier García Blas, Florin Isaila, Jesús Carretero 0001, David E. Singh, Félix García Carballeira Implementation and Evaluation of File Write-Back and Prefetching for MPI-IO Over GPFS. Search on Bibsonomy Int. J. High Perform. Comput. Appl. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Keiichi Kushida, Azuma Suzuki, Gou Fukano, Atsushi Kawasumi, Osamu Hirabayashi, Yasuhisa Takeyama, Takahiko Sasaki, Akira Katayama, Yuki Fujimura, Tomoaki Yabe A 0.7 V Single-Supply SRAM With 0.495 µm2 Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Pieter Bellens, Josep M. Pérez, Rosa M. Badia, Jesús Labarta Just-in-Time Renaming and Lazy Write-Back on the Cell/B.E.. Search on Bibsonomy ICPP Workshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20 Application of Metamorphic Methodologies on the Synthesizing Write-Back Caches. Search on Bibsonomy SSME The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Nam Sung Kim, Trevor N. Mudge Reducing register ports using delayed write-back queues and operand pre-fetch. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write queue, low power, instruction level parallelism, register file, out-of-order processor
20Yeonbae Chung, Byung-Gil Jeon, Kang-Deog Suh A 3.3-V, 4-Mb nonvolatile ferroelectric RAM with selectively driven double-pulsed plate read/write-back scheme. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Yuguang Wu Evaluation of Write-Back Caches for Multiple Block-Sizes. Search on Bibsonomy MASCOTS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
20Roger A. Bringmann, Scott A. Mahlke, Richard E. Hank, John C. Gyllenhaal, Wen-mei W. Hwu Speculative execution exception recovery using write-back suppression. Search on Bibsonomy MICRO The full citation details ... 1993 DBLP  DOI  BibTeX  RDF exception detection, exception recovery, scheduling, VLIW, speculative execution, superscalar
20Richard L. Norton, Jacob A. Abraham Using write back cache to improve performance of multi-user multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1982 DBLP  BibTeX  RDF
18Pierre Guironnet de Massas, Frédéric Pétrot Comparison of memory write policies for NoC based Multicore Cache Coherent Systems. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jerry Hom, Ulrich Kremer Execution context optimization for disk energy. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronization, user study, multiprogramming, runtime adaptation
18Peng Li 0031, Dongsheng Wang 0002, Haixia Wang 0001, Meijuan Lu, Weimin Zheng LIRAC: Using Live Range Information to Optimize Memory Access. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LIRAC, Live Range, Cache, Memory Hierarchy, Write Buffer
18Gregory R. Ganger, Marshall K. McKusick, Craig A. N. Soules, Yale N. Patt Soft updates: a solution to the metadata update problem in file systems. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Sang Jeong Lee, Pen-Chung Yew On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Wei Zhang 0002 Computing and Minimizing Cache Vulnerability to Transient Errors. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Jairo Balart, Marc González 0001, Xavier Martorell, Eduard Ayguadé, Zehra Sura, Tong Chen 0001, Tao Zhang, Kevin O'Brien, Kathryn M. O'Brien A Novel Asynchronous Software Cache Implementation for the Cell-BE Processor. Search on Bibsonomy LCPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Lei Wang 0003, Niral Patel Reducing error accumulation effect in multithreaded memory systems. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Nien-Tsu Wang, Nam Ling A Novel Dual-Path Architecture for HDTV Video Decoding. Search on Bibsonomy Data Compression Conference The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Wen-Hann Wang, Jean-Loup Baer Efficient Trace-Driven Simulation Methods for Cache Performance Analysis. Search on Bibsonomy SIGMETRICS The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
13Benjamin Atkin, Kenneth P. Birman Network-Aware Adaptation Techniques for Mobile File Systems. Search on Bibsonomy NCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Ravi Rajwar, Alain Kägi, James R. Goodman Inferential Queueing and Speculative Push. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF inferential queueing, migratory sharing, Synchronization, critical sections, data forwarding
13Ravi Rajwar, Alain Kägi, James R. Goodman Inferential queueing and speculative push for reducing critical communication latencies. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF inferential queueing, synchronization, data forwarding
13Peter M. Chen, David E. Lowell Reliability Hierarchies. Search on Bibsonomy Workshop on Hot Topics in Operating Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF delayed write, Rio, file systems, storage
13Vijay S. Pai, Parthasarathy Ranganathan, Sarita V. Adve, Tracy Harton An Evaluation of Memory Consistency Models for Shared-Memory Systems with ILP Processors. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
13Kshitij Sudan, Niladrish Chatterjee, David W. Nellans, Manu Awasthi, Rajeev Balasubramonian, Al Davis Micro-pages: increasing DRAM efficiency with locality-aware data placement. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dram row-buffer management, data placement
13Kevin W. Froese, Richard B. Bunt Scheduling Write Backs for Weakly-Connected Mobile Clients. Search on Bibsonomy Computer Performance Evaluation (Tools) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Seetharami R. Seelam, I-Hsin Chung, John Bauer, Hao Yu 0008, Hui-Fang Wen Application level I/O caching on Blue Gene/P systems. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Julien Dusser, Thomas Piquet, André Seznec Zero-content augmented caches. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF null block, zero block, cache, compression
9Lei Wang 0003, Niral Patel Improving Error Tolerance for Multithreaded Register Files. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9D. E. Khalil, Muhammad M. Khellah, Nam-Sung Kim, Yehea I. Ismail, Tanay Karnik, Vivek K. De Accurate Estimation of SRAM Dynamic Stability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Hassan Chafi, Jared Casper, Brian D. Carlstrom, Austen McDonald, Chi Cao Minh, Woongki Baek, Christos Kozyrakis, Kunle Olukotun A Scalable, Non-blocking Approach to Transactional Memory. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir Microarchitectural Enhancements for Configurable Multi-Threaded Soft Processors. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Amitanand S. Aiyer, Lorenzo Alvisi, Rida A. Bazzi Bounded Wait-Free Implementation of Optimally Resilient Byzantine Storage Without (Unproven) Cryptographic Assumptions. Search on Bibsonomy DISC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Hans Vandierendonck, Philippe Manet, Thibault Delavallee, Igor Loiselle, Jean-Didier Legat By-passing the out-of-order execution pipeline to increase energy-efficiency. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF instruction wake-up, energy-efficiency, instruction scheduling, out-of-order execution
9Jason Cong, Guoling Han, Zhiru Zhang Architecture and Compiler Optimizations for Data Bandwidth Improvement in Configurable Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Ming Zhao 0002, Jian Zhang 0005, Renato J. O. Figueiredo Distributed File System Virtualization Techniques Supporting On-Demand Virtual Machine Environments for Grid Computing. Search on Bibsonomy Clust. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF grid computing, virtual machine, distributed file system
9Hossein Asadi 0001, Vilas Sridharan, Mehdi Baradaran Tahoori, David R. Kaeli Vulnerability analysis of L2 cache elements to single event upsets. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Arul Sandeep Gade, Yul Chu A Case for Dual-Mapping One-Way Caches. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Doina Logofatu, Rolf Drechsler Efficient Evolutionary Approaches for the Data Ordering Problem with Inversion. Search on Bibsonomy EvoWorkshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Data Ordering Problem, Transition Minimization, Optimization, Complexity, Low Power, Evolutionary Algorithms, Graph Theory, Digital Circuit Design
9J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry The STAMPede approach to thread-level speculation. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache coherence, automatic parallelization, Thread-level speculation, chip-multiprocessing
9Kenin Coloma, Alok N. Choudhary, Avery Ching, Wei-keng Liao, Seung Woo Son 0001, Mahmut T. Kandemir, Lee Ward Power and Performance in I/O for Scientific Applications. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Chang-Hyo Yu, Donghyun Kim, Lee-Sup Kim A 33.2M vertices/sec programmable geometry engine for multimedia embedded systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
9Lin Li 0002, Vijay Degalahal, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin Soft error and energy consumption interactions: a data cache perspective. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF energy-efficiency, soft error, data cache
9Ming Zhao 0002, Jian Zhang 0005, Renato J. O. Figueiredo Distributed File System Support for Virtual Machines in Grid Computing. Search on Bibsonomy HPDC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
9Nam Ling, Nien-Tsu Wang A Real-Time Video Decoder for Digital HDTV. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF HDTV video decoder, MPEG-2 MP@HL decoder, digital TV, HDTV, video decoding
9Elizabeth Varki, Arif Merchant, Jianzhang Xu, Xiaozhou Qiu An integrated performance model of disk arrays. Search on Bibsonomy MASCOTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
9Alberto Macii, Enrico Macii, Fabrizio Crudo, Roberto Zafalon A New Algorithm for Energy-Driven Data Compression in VLIW Embedded Processors. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Data compression algorithms, system-level energy optimization, VLIW embedded processors
Displaying result #1 - #100 of 105 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license