|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 97 occurrences of 77 keywords
|
|
|
Results
Found 105 publication records. Showing 105 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
90 | Farnaz Mounes-Toussi, David J. Lilja |
Write buffer design for cache-coherent shared-memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 506-511, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies |
76 | Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi |
Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 839-844, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
65 | Seung-Ho Lim, Sung Hoon Baek, Joo Young Hwang, Kyu Ho Park |
Write Back Routine for JFFS2 Efficient I/O. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2006, Seoul, Korea, August 1-4, 2006, Proceedings, pp. 795-804, 2006, Springer, 3-540-36679-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
50 | Wee Teck Ng, Peter M. Chen |
The Systematic Improvement of Fault Tolerance in the Rio File Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FTCS ![In: Digest of Papers: FTCS-29, The Twenty-Ninth Annual International Symposium on Fault-Tolerant Computing, Madison, Wisconsin, USA, June 15-18, 1999, pp. 76-83, 1999, IEEE Computer Society, 0-7695-0213-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
write-back file cache, reliable memory, software fault injection |
46 | Haakon Dybdahl, Marius Grannæs, Lasse Natvig |
Cache Write-Back Schemes for Embedded Destructive-Read DRAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2006, 19th International Conference, Frankfurt/Main, Germany, March 13-16, 2006, Proceedings, pp. 145-159, 2006, Springer, 3-540-32765-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
41 | Peter M. Chen, Wee Teck Ng, Subhachandra Chandra, Christopher M. Aycock, Gurushankar Rajamani, David E. Lowell |
The Rio File Cache: Surviving Operating System Crashes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 74-83, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
38 | James G. Thompson, Alan Jay Smith |
Efficient (Stack) Algorithms for Analysis of Write-Back and Sector Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 7(1), pp. 78-116, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
34 | Srivatsan Narasimhan, Sohum Sohoni, Yiming Hu |
A Log-Based Write-Back Mechanism for Cooperative Caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 61, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Write Performance, Networks of Workstations, Distributed Architectures, Cooperative Caching, Disk Caching |
33 | Peng Li 0031, Dongsheng Wang 0002, Songliu Guo, Tao Tian, Weimin Zheng |
Live Range Aware Cache Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings, pp. 409-415, 2006, Springer, 3-540-40056-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Live Range, Cache, Memory Hierarchy |
33 | Shen-Fu Hsiao, Sze-Yun Lin, Tze-Chong Cheng, Ming-Yu Tsai |
An Automatic Cache Generator Based on Content-Addressable Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APCCAS ![In: IEEE Asia Pacific Conference on Circuits and Systems 2006, APCCAS 2006, Singapore, 4-7 December 2006, pp. 1313-1316, 2006, IEEE, 1-4244-0387-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
32 | Yu-Pei Liang, Tseng-Yi Chen, Yuan-Hao Chang 0001, Shuo-Han Chen, Pei-Yu Chen, Wei-Kuan Shih |
Rethinking Last-level-cache Write-back Strategy for MLC STT-RAM Main Memory with Asymmetric Write Energy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: 2019 IEEE/ACM International Symposium on Low Power Electronics and Design, ISLPED 2019, Lausanne, Switzerland, July 29-31, 2019, pp. 1-6, 2019, IEEE, 978-1-7281-2954-9. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
32 | Ghasem Pasandi, Massoud Pedram |
Internal write-back and read-before-write schemes to eliminate the disturbance to the half-selected cells in SRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IET Circuits Devices Syst. ![In: IET Circuits Devices Syst. 12(4), pp. 460-466, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
32 | Nam Sung Kim, Trevor N. Mudge |
The microarchitecture of a low power register file. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 384-389, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
write queue, low power, instruction level parallelism, register file, out-of-order processor |
30 | Qi Zhao 0006, Jun (Jim) Xu, Zhen Liu |
Design of a novel statistics counter architecture with optimal space and time efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS/Performance ![In: Proceedings of the Joint International Conference on Measurement and Modeling of Computer Systems, SIGMETRICS/Performance 2006, Saint Malo, France, June 26-30, 2006, pp. 323-334, 2006, ACM, 1-59593-319-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
statistics counter, data streaming, router |
29 | Javier García Blas, Florin Isaila, Jesús Carretero 0001, Robert Latham, Robert B. Ross |
Multiple-Level MPI File Write-Back and Prefetching for Blue Gene Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 16th European PVM/MPI Users' Group Meeting, Espoo, Finland, September 7-10, 2009. Proceedings, pp. 164-173, 2009, Springer, 978-3-642-03769-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Parallel I/O, Supercomputers, Parallel File Systems, MPI-IO |
29 | Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi |
Fault Tolerant and Low Energy Write-Back Heterogeneous Set Associative Cache for DSM Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARES ![In: Proceedings of the The Forth International Conference on Availability, Reliability and Security, ARES 2009, March 16-19, 2009, Fukuoka, Japan, pp. 448-453, 2009, IEEE Computer Society, 978-1-4244-3572-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
27 | Wei Zhang 0002 |
Computing Cache Vulnerability to Transient Errors and Its Implication. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 20th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2005), 3-5 October 2005, Monterey, CA, USA, pp. 427-435, 2005, IEEE Computer Society, 0-7695-2464-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
27 | Wee Teck Ng, Peter M. Chen |
The Design and Verification of the Rio File Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(4), pp. 322-337, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
reliable main memory, File systems, software fault injection |
27 | Jeanna N. Matthews, Sanjeev N. Trika, Debra Hensgen, Rick Coulson, Knut Grimsrud |
Intel Turbo Memory: Nonvolatile disk caches in the storage hierarchy of mainstream computer systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Storage ![In: ACM Trans. Storage 4(2), pp. 4:1-4:24, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
NAND, write-back, solid-state disk, disk cache, Nonvolatile memory |
27 | Wei Zhang 0002 |
Replication Cache: A Small Fully Associative Cache to Improve Data Cache Reliability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(12), pp. 1547-1555, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
write-back cache, in-cache replication, Soft error |
27 | Wei Zhang 0002 |
Enhancing data cache reliability by the addition of a small fully-associative replication cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 18th Annual International Conference on Supercomputing, ICS 2004, Saint Malo, France, June 26 - July 01, 2004, pp. 12-19, 2004, ACM, 1-58113-839-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
in-cache replication, write-back cache, soft error |
27 | Amer Diwan, David Tarditi, J. Eliot B. Moss |
Memory System Performance of Programs with Intensive Heap Allocation ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(3), pp. 244-273, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer |
27 | Qing Yang 0001, Laxmi N. Bhuyan, Bao-Chyn Liu |
Analysis and Comparison of Cache Coherence Protocols for a Packet-Switched Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 38(8), pp. 1143-1153, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
packet-switched multiprocessor, Write-Once, Write-Through, Berkeley, Illinois, Firefly, Dragon, invalidation signals, write-back, protocols, queueing theory, packet switching, multiprocessing systems, analytical models, cache coherence protocols, queuing networks, mean value analysis, Synapse |
23 | Jongmin Lee 0002, Soontae Kim |
An energy-delay efficient 2-level data cache architecture for embedded system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 343-346, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
2-level data cache, early cache hit predictor, one-way write |
23 | Timothy P. Mann, Andrew Birrell, Andy Hisgen, Charles Jerian, Garret Swart |
A Coherent Distributed File Cache with Directory Write-Behind. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 12(2), pp. 123-164, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
write-behind, coherence, file caching |
20 | Wenjun Tang, Mingyen Lee, Juejian Wu, Yixin Xu, Yao Yu, Yongpan Liu, Kai Ni 0004, Yu Wang 0002, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li |
FeFET-Based Logic-in-Memory Supporting SA-Free Write-Back and Fully Dynamic Access With Reduced Bitline Charging Activity and Recycled Bitline Charge. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. I Regul. Pap. ![In: IEEE Trans. Circuits Syst. I Regul. Pap. 70(6), pp. 2398-2411, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Siyuan Sheng, Huancheng Puyang, Qun Huang 0001, Lu Tang 0004, Patrick P. C. Lee |
FarReach: Write-back Caching in Programmable Switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
USENIX Annual Technical Conference ![In: 2023 USENIX Annual Technical Conference, USENIX ATC 2023, Boston, MA, USA, July 10-12, 2023., pp. 571-584, 2023, USENIX Association. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP BibTeX RDF |
|
20 | Jongmin Lee, Jun-Yeon Lee, Taeweon Suh, Gunjae Koo |
CacheRewinder: Revoking Speculative Cache Updates Exploiting Write-Back Buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2022 Design, Automation & Test in Europe Conference & Exhibition, DATE 2022, Antwerp, Belgium, March 14-23, 2022, pp. 514-519, 2022, IEEE, 978-3-9819263-6-1. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Yingjin Qian, Wen Cheng, Lingfang Zeng, Marc-André Vef, Oleg Drokin, Andreas Dilger, Shuichi Ihara, Wusheng Zhang, Yang Wang 0006, André Brinkmann |
MetaWBC: POSIX-Compliant Metadata Write-Back Caching for Distributed File Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: SC22: International Conference for High Performance Computing, Networking, Storage and Analysis, Dallas, TX, USA, November 13-18, 2022, pp. 56:1-56:20, 2022, IEEE, 978-1-6654-5444-5. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
20 | Shahram Ghandeharizadeh, Haoyu Huang, Hieu Nguyen |
Boosting OLTP Performance Using Write-Back Client-Side Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. Large Scale Data Knowl. Centered Syst. ![In: Transactions on Large-Scale Data- and Knowledge-Centered Systems XLVIII - Special Issue In Memory of Univ. Prof. Dr. Roland Wagner, pp. 16-44, 2021, Springer, 978-3-662-63518-6. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Byungkyu Song, Sehee Lim, Seung-Hyuk Kang, Seong-Ook Jung |
Environmental-Variation-Tolerant Magnetic Tunnel Junction-Based Physical Unclonable Function Cell With Auto Write-Back Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Forensics Secur. ![In: IEEE Trans. Inf. Forensics Secur. 16, pp. 2843-2853, 2021. The full citation details ...](Pics/full.jpeg) |
2021 |
DBLP DOI BibTeX RDF |
|
20 | Hiroaki Hirata, Atsushi Nunome |
Decoupling Computation and Result Write-Back for Thread-Level Parallelization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Softw. Innov. ![In: Int. J. Softw. Innov. 8(3), pp. 19-34, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Jiacheng Ni, Keren Liu, Bi Wu, Weisheng Zhao, Yuanqing Cheng, Xiaolong Zhang, Ying Wang 0001 |
Write Back Energy Optimization for STT-MRAM-based Last-level Cache with Data Pattern Characterization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 16(3), pp. 29:1-29:18, 2020. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Mingyen Lee, Wenjun Tang, Bowen Xue, Juejian Wu, Mingyuan Ma, Yu Wang 0002, Yongpan Liu, Deliang Fan, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li |
FeFET-based low-power bitwise logic-in-memory with direct write-back and data-adaptive dynamic sensing interface. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: ISLPED '20: ACM/IEEE International Symposium on Low Power Electronics and Design, Boston, Massachusetts, August 10-12, 2020, pp. 127-132, 2020, ACM, 978-1-4503-7053-0. The full citation details ...](Pics/full.jpeg) |
2020 |
DBLP DOI BibTeX RDF |
|
20 | Chenlin Ma, Zhaoyan Shen, Yi Wang 0003, Zili Shao |
Alleviating Hot Data Write Back Effect for Shingled Magnetic Recording Storage Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(12), pp. 2243-2254, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Shahram Ghandeharizadeh, Hieu Nguyen |
Design, Implementation, and Evaluation of Write-Back Policy with Cache Augmented Data Stores. (PDF / PS) ![Search on Bibsonomy](Pics/bibsonomy.png) |
Proc. VLDB Endow. ![In: Proc. VLDB Endow. 12(8), pp. 836-849, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
20 | Robert I. Davis 0001, Sebastian Altmeyer, Jan Reineke 0001 |
Response-time analysis for fixed-priority systems with a write-back cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 54(4), pp. 912-963, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Tseng-Yi Chen, Yuan-Hao Chang 0001, Yuan-Hung Kuan, Ming-Chang Yang, Yu-Ming Chang, Pi-Cheng Hsiu |
Enhancing Flash Memory Reliability by Jointly Considering Write-back Pattern and Block Endurance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 23(5), pp. 64:1-64:24, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
20 | Tobias Blaß, Sebastian Hahn 0001, Jan Reineke 0001 |
Write-Back Caches in WCET Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 29th Euromicro Conference on Real-Time Systems, ECRTS 2017, June 27-30, 2017, Dubrovnik, Croatia, pp. 26:1-26:22, 2017, Schloss Dagstuhl - Leibniz-Zentrum für Informatik, 978-3-95977-037-8. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Atsushi Koshiba, Takahiro Hirofuchi, Soramichi Akiyama, Ryousei Takano, Mitaro Namiki |
Towards write-back aware software emulator for non-volatile memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NVMSA ![In: IEEE 6th Non-Volatile Memory Systems and Applications Symposium, NVMSA 2017, Hsinchu, Taiwan, August 16-18, 2017, pp. 1-6, 2017, IEEE, 978-1-5386-1768-7. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Jörg Pfähler, Gidon Ernst, Stefan Bodenmüller, Gerhard Schellhorn, Wolfgang Reif |
Modular Verification of Order-Preserving Write-Back Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFM ![In: Integrated Formal Methods - 13th International Conference, IFM 2017, Turin, Italy, September 20-22, 2017, Proceedings, pp. 375-390, 2017, Springer, 978-3-319-66844-4. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
20 | Bo Wang 0020, Qi Li, Tony Tae-Hyoung Kim |
Read Bitline Sensing and Fast Local Write-Back Techniques in Hierarchical Bitline Architecture for Ultralow-Voltage SRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 24(6), pp. 2165-2173, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Robert I. Davis 0001, Sebastian Altmeyer, Jan Reineke 0001 |
Analysis of Write-back Caches under Fixed-priority Preemptive and Non-preemptive Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTNS ![In: Proceedings of the 24th International Conference on Real-Time Networks and Systems, RTNS 2016, Brest, France, October 19-21, 2016, pp. 309-318, 2016, ACM, 978-1-4503-4787-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Hung-Sheng Chang, Yuan-Hao Chang 0001, Yuan-Hung Kuan, Xiang-Zhi Huang, Tei-Wei Kuo, Hsiang-Pang Li |
Pattern-aware write-back strategy to minimize energy consumption of PCM-based storage systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NVMSA ![In: 5th Non-Volatile Memory Systems and Applications Symposium, NVMSA 2016, Daegu, South Korea, August 17-19, 2016, pp. 1-6, 2016, IEEE, 978-1-5090-4136-7. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Deshan Zhang, Lei Ju 0001, Mengying Zhao, Xiang Gao 0012, Zhiping Jia |
Write-back aware shared last-level cache management for hybrid main memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 53rd Annual Design Automation Conference, DAC 2016, Austin, TX, USA, June 5-9, 2016, pp. 172:1-172:6, 2016, ACM, 978-1-4503-4236-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
20 | Matthew M. Kim, Karl M. Fant, Paul Beckett |
Design of asynchronous RISC CPU register-file Write-Back queue. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: 2015 IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015, pp. 31-36, 2015, IEEE, 978-1-4673-9140-5. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Yohan Ko, Reiley Jeyapaul, Youngbin Kim, Kyoungwoo Lee, Aviral Shrivastava |
Guidelines to design parity protected write-back L1 data cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015, pp. 24:1-24:6, 2015, ACM, 978-1-4503-3520-1. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
20 | Wei Zhang 0032, Ki Chul Chun, Chris H. Kim |
A Write-Back-Free 2T1D Embedded DRAM With Local Voltage Sensing and a Dual-Row-Access Low Power Mode. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. I Regul. Pap. ![In: IEEE Trans. Circuits Syst. I Regul. Pap. 60-I(8), pp. 2030-2038, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
20 | Qi Li, Bo Wang 0020, Tony T. Kim |
A 5.61 pJ, 16 kb 9T SRAM with single-ended equalized bitlines and fast local write-back for cell stability improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESSDERC ![In: Proceedings of the 2012 European Solid-State Device Research Conference, ESSDERC 2012, Bordeaux, France, September 17-21, 2012, pp. 201-204, 2012, IEEE, 978-1-4673-1707-8. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Wei Zhang 0032, Ki Chul Chun, Chris H. Kim |
A write-back-free 2T1D embedded DRAM with local voltage sensing and a dual-row-access low power mode. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CICC ![In: Proceedings of the IEEE 2012 Custom Integrated Circuits Conference, CICC 2012, San Jose, CA, USA, September 9-12, 2012, pp. 1-4, 2012, IEEE, 978-1-4673-1555-5. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Tahseen Shakir, Manoj Sachdev |
A read-assist write-back voltage sense amplifier for low voltage-operated SRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SoCC ![In: IEEE 25th International SOC Conference, SOCC 2012, Niagara Falls, NY, USA, September 12-14, 2012, pp. 66-71, 2012, IEEE, 978-1-4673-1294-3. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
20 | Jui-Jen Wu, Yen-Hui Chen, Meng-Fan Chang, Po-Wei Chou, Chien-Yuan Chen, Hung-Jen Liao, Ming-Bin Chen, Yuan-Hua Chu, Wen-Chin Wu, Hiroyuki Yamauchi |
A Large Sigma V TH /VDD Tolerant Zigzag 8T SRAM With Area-Efficient Decoupled Differential Sensing and Fast Write-Back Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 46(4), pp. 815-827, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
20 | Pieter Bellens, Josep M. Pérez, Rosa M. Badia, Jesús Labarta |
Making the Best of Temporal Locality: Just-in-Time Renaming and Lazy Write-Back on the Cell/B.E. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Perform. Comput. Appl. ![In: Int. J. High Perform. Comput. Appl. 25(2), pp. 137-147, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
20 | Javier García Blas, Florin Isaila, Jesús Carretero 0001, David E. Singh, Félix García Carballeira |
Implementation and Evaluation of File Write-Back and Prefetching for MPI-IO Over GPFS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. High Perform. Comput. Appl. ![In: Int. J. High Perform. Comput. Appl. 24(1), pp. 78-92, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
20 | Keiichi Kushida, Azuma Suzuki, Gou Fukano, Atsushi Kawasumi, Osamu Hirabayashi, Yasuhisa Takeyama, Takahiko Sasaki, Akira Katayama, Yuki Fujimura, Tomoaki Yabe |
A 0.7 V Single-Supply SRAM With 0.495 µm2 Cell in 65 nm Technology Utilizing Self-Write-Back Sense Amplifier and Cascaded Bit Line Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 44(4), pp. 1192-1198, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Pieter Bellens, Josep M. Pérez, Rosa M. Badia, Jesús Labarta |
Just-in-Time Renaming and Lazy Write-Back on the Cell/B.E.. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP Workshops ![In: ICPPW 2009, International Conference on Parallel Processing Workshops, Vienna, Austria, 22-25 September 2009, pp. 138-145, 2009, IEEE Computer Society, 978-0-7695-3803-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | |
Application of Metamorphic Methodologies on the Synthesizing Write-Back Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SSME ![In: 2009 IITA International Conference on Services Science, Management and Engineering, SSME 2009, 11-12 July 2009, Zhangjiajie, China, pp. 436-438, 2009, IEEE Computer Society, 978-0-7695-3729-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
20 | Nam Sung Kim, Trevor N. Mudge |
Reducing register ports using delayed write-back queues and operand pre-fetch. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 17th Annual International Conference on Supercomputing, ICS 2003, San Francisco, CA, USA, June 23-26, 2003, pp. 172-182, 2003, ACM, 1-58113-733-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
write queue, low power, instruction level parallelism, register file, out-of-order processor |
20 | Yeonbae Chung, Byung-Gil Jeon, Kang-Deog Suh |
A 3.3-V, 4-Mb nonvolatile ferroelectric RAM with selectively driven double-pulsed plate read/write-back scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Solid State Circuits ![In: IEEE J. Solid State Circuits 35(5), pp. 697-704, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
20 | Yuguang Wu |
Evaluation of Write-Back Caches for Multiple Block-Sizes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: MASCOTS '94, Proceedings of the Second International Workshop on Modeling, Analysis, and Simulation On Computer and Telecommunication Systems, January 31 - February 2, 1994, Durham, North Carolina, USA, pp. 57-61, 1994, IEEE Computer Society, 0-8186-5292-6. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
20 | Roger A. Bringmann, Scott A. Mahlke, Richard E. Hank, John C. Gyllenhaal, Wen-mei W. Hwu |
Speculative execution exception recovery using write-back suppression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 26th Annual International Symposium on Microarchitecture, Austin, Texas, USA, November 1993, pp. 214-223, 1993, ACM / IEEE Computer Society, 0-8186-5280-2. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
exception detection, exception recovery, scheduling, VLIW, speculative execution, superscalar |
20 | Richard L. Norton, Jacob A. Abraham |
Using write back cache to improve performance of multi-user multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: International Conference on Parallel Processing, ICPP'82, August 24-27, 1982, Bellaire, Michigan, USA, pp. 326-331, 1982, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP BibTeX RDF |
|
18 | Pierre Guironnet de Massas, Frédéric Pétrot |
Comparison of memory write policies for NoC based Multicore Cache Coherent Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 997-1002, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
18 | Jerry Hom, Ulrich Kremer |
Execution context optimization for disk energy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 255-264, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
synchronization, user study, multiprogramming, runtime adaptation |
18 | Peng Li 0031, Dongsheng Wang 0002, Haixia Wang 0001, Meijuan Lu, Weimin Zheng |
LIRAC: Using Live Range Information to Optimize Memory Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2007, 20th International Conference, Zurich, Switzerland, March 12-15, 2007, Proceedings, pp. 28-42, 2007, Springer, 978-3-540-71267-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
LIRAC, Live Range, Cache, Memory Hierarchy, Write Buffer |
18 | Gregory R. Ganger, Marshall K. McKusick, Craig A. N. Soules, Yale N. Patt |
Soft updates: a solution to the metadata update problem in file systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 18(2), pp. 127-153, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
18 | Sang Jeong Lee, Pen-Chung Yew |
On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: Proceedings of the 2000 International Conference on Parallel Architectures and Compilation Techniques (PACT'00), Philadelphia, Pennsylvania, USA, October 15-19, 2000, pp. 145-156, 2000, IEEE Computer Society, 0-7695-0622-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
17 | Wei Zhang 0002 |
Computing and Minimizing Cache Vulnerability to Transient Errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 26(2), pp. 44-51, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
17 | Jairo Balart, Marc González 0001, Xavier Martorell, Eduard Ayguadé, Zehra Sura, Tong Chen 0001, Tao Zhang, Kevin O'Brien, Kathryn M. O'Brien |
A Novel Asynchronous Software Cache Implementation for the Cell-BE Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCPC ![In: Languages and Compilers for Parallel Computing, 20th International Workshop, LCPC 2007, Urbana, IL, USA, October 11-13, 2007, Revised Selected Papers, pp. 125-140, 2007, Springer, 978-3-540-85260-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
17 | Lei Wang 0003, Niral Patel |
Reducing error accumulation effect in multithreaded memory systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
17 | Nien-Tsu Wang, Nam Ling |
A Novel Dual-Path Architecture for HDTV Video Decoding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Data Compression Conference ![In: Data Compression Conference, DCC 1999, Snowbird, Utah, USA, March 29-31, 1999., pp. 557, 1999, IEEE Computer Society, 0-7695-0096-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
17 | Wen-Hann Wang, Jean-Loup Baer |
Efficient Trace-Driven Simulation Methods for Cache Performance Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1990 ACM SIGMETRICS conference on Measurement and modeling of computer systems, University of Colorado, Boulder, Colorado, USA, May 22-25, 1990, pp. 27-36, 1990, ACM, 0-89791-359-0. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
13 | Benjamin Atkin, Kenneth P. Birman |
Network-Aware Adaptation Techniques for Mobile File Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NCA ![In: Fifth IEEE International Symposium on Network Computing and Applications, NCA 2006, 24-26 July 2006, Cambridge, Massachusetts, USA, pp. 181-188, 2006, IEEE Computer Society, 0-7695-2640-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
13 | Ravi Rajwar, Alain Kägi, James R. Goodman |
Inferential Queueing and Speculative Push. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Parallel Program. ![In: Int. J. Parallel Program. 32(3), pp. 225-258, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
inferential queueing, migratory sharing, Synchronization, critical sections, data forwarding |
13 | Ravi Rajwar, Alain Kägi, James R. Goodman |
Inferential queueing and speculative push for reducing critical communication latencies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 17th Annual International Conference on Supercomputing, ICS 2003, San Francisco, CA, USA, June 23-26, 2003, pp. 273-284, 2003, ACM, 1-58113-733-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
inferential queueing, synchronization, data forwarding |
13 | Peter M. Chen, David E. Lowell |
Reliability Hierarchies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop on Hot Topics in Operating Systems ![In: Proceedings of The Seventh Workshop on Hot Topics in Operating Systems, HotOS-VII, Rio Rico, Arizona, USA, March 28-30, 1999, pp. 168-173, 1999, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
delayed write, Rio, file systems, storage |
13 | Vijay S. Pai, Parthasarathy Ranganathan, Sarita V. Adve, Tracy Harton |
An Evaluation of Memory Consistency Models for Shared-Memory Systems with ILP Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: ASPLOS-VII Proceedings - Seventh International Conference on Architectural Support for Programming Languages and Operating Systems, Cambridge, Massachusetts, USA, October 1-5, 1996., pp. 12-23, 1996, ACM Press, 0-89791-767-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
13 | Kshitij Sudan, Niladrish Chatterjee, David W. Nellans, Manu Awasthi, Rajeev Balasubramonian, Al Davis |
Micro-pages: increasing DRAM efficiency with locality-aware data placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 15th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2010, Pittsburgh, Pennsylvania, USA, March 13-17, 2010, pp. 219-230, 2010, ACM, 978-1-60558-839-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
dram row-buffer management, data placement |
13 | Kevin W. Froese, Richard B. Bunt |
Scheduling Write Backs for Weakly-Connected Mobile Clients. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Performance Evaluation (Tools) ![In: Computer Performance Evaluation: Modelling Techniques and Tools, 10th International Conference, Tools '98, Palma de Mallorca, Spain, September 14-18, 1998, Proceedings, pp. 219-230, 1998, Springer, 3-540-64949-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
9 | Seetharami R. Seelam, I-Hsin Chung, John Bauer, Hao Yu 0008, Hui-Fang Wen |
Application level I/O caching on Blue Gene/P systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-8, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
9 | Julien Dusser, Thomas Piquet, André Seznec |
Zero-content augmented caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 46-55, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
null block, zero block, cache, compression |
9 | Lei Wang 0003, Niral Patel |
Improving Error Tolerance for Multithreaded Register Files. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(8), pp. 1009-1020, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | D. E. Khalil, Muhammad M. Khellah, Nam-Sung Kim, Yehea I. Ismail, Tanay Karnik, Vivek K. De |
Accurate Estimation of SRAM Dynamic Stability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 16(12), pp. 1639-1647, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
9 | Hassan Chafi, Jared Casper, Brian D. Carlstrom, Austen McDonald, Chi Cao Minh, Woongki Baek, Christos Kozyrakis, Kunle Olukotun |
A Scalable, Non-blocking Approach to Transactional Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 13st International Conference on High-Performance Computer Architecture (HPCA-13 2007), 10-14 February 2007, Phoenix, Arizona, USA, pp. 97-108, 2007, IEEE Computer Society, 1-4244-0804-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Roger Moussali, Nabil Ghanem, Mazen A. R. Saghir |
Microarchitectural Enhancements for Configurable Multi-Threaded Soft Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: FPL 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007, pp. 782-785, 2007, IEEE, 1-4244-1060-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Amitanand S. Aiyer, Lorenzo Alvisi, Rida A. Bazzi |
Bounded Wait-Free Implementation of Optimally Resilient Byzantine Storage Without (Unproven) Cryptographic Assumptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 21st International Symposium, DISC 2007, Lemesos, Cyprus, September 24-26, 2007, Proceedings, pp. 7-19, 2007, Springer, 978-3-540-75141-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
9 | Hans Vandierendonck, Philippe Manet, Thibault Delavallee, Igor Loiselle, Jean-Didier Legat |
By-passing the out-of-order execution pipeline to increase energy-efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Conf. Computing Frontiers ![In: Proceedings of the 4th Conference on Computing Frontiers, 2007, Ischia, Italy, May 7-9, 2007, pp. 97-104, 2007, ACM, 978-1-59593-683-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
instruction wake-up, energy-efficiency, instruction scheduling, out-of-order execution |
9 | Jason Cong, Guoling Han, Zhiru Zhang |
Architecture and Compiler Optimizations for Data Bandwidth Improvement in Configurable Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(9), pp. 986-997, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Ming Zhao 0002, Jian Zhang 0005, Renato J. O. Figueiredo |
Distributed File System Virtualization Techniques Supporting On-Demand Virtual Machine Environments for Grid Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Clust. Comput. ![In: Clust. Comput. 9(1), pp. 45-56, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
grid computing, virtual machine, distributed file system |
9 | Hossein Asadi 0001, Vilas Sridharan, Mehdi Baradaran Tahoori, David R. Kaeli |
Vulnerability analysis of L2 cache elements to single event upsets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the Conference on Design, Automation and Test in Europe, DATE 2006, Munich, Germany, March 6-10, 2006, pp. 1276-1281, 2006, European Design and Automation Association, Leuven, Belgium, 3-9810801-1-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Arul Sandeep Gade, Yul Chu |
A Case for Dual-Mapping One-Way Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2006, 19th International Conference, Frankfurt/Main, Germany, March 13-16, 2006, Proceedings, pp. 130-144, 2006, Springer, 3-540-32765-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
9 | Doina Logofatu, Rolf Drechsler |
Efficient Evolutionary Approaches for the Data Ordering Problem with Inversion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EvoWorkshops ![In: Applications of Evolutionary Computing, EvoWorkshops 2006: EvoBIO, EvoCOMNET, EvoHOT, EvoIASP, EvoINTERACTION, EvoMUSART, and EvoSTOC, Budapest, Hungary, April 10-12, 2006, Proceedings, pp. 320-331, 2006, Springer, 3-540-33237-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Data Ordering Problem, Transition Minimization, Optimization, Complexity, Low Power, Evolutionary Algorithms, Graph Theory, Digital Circuit Design |
9 | J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry |
The STAMPede approach to thread-level speculation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 23(3), pp. 253-300, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
cache coherence, automatic parallelization, Thread-level speculation, chip-multiprocessing |
9 | Kenin Coloma, Alok N. Choudhary, Avery Ching, Wei-keng Liao, Seung Woo Son 0001, Mahmut T. Kandemir, Lee Ward |
Power and Performance in I/O for Scientific Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), CD-ROM / Abstracts Proceedings, 4-8 April 2005, Denver, CO, USA, 2005, IEEE Computer Society, 0-7695-2312-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Chang-Hyo Yu, Donghyun Kim, Lee-Sup Kim |
A 33.2M vertices/sec programmable geometry engine for multimedia embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (5) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 4574-4577, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
9 | Lin Li 0002, Vijay Degalahal, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin |
Soft error and energy consumption interactions: a data cache perspective. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 132-137, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
energy-efficiency, soft error, data cache |
9 | Ming Zhao 0002, Jian Zhang 0005, Renato J. O. Figueiredo |
Distributed File System Support for Virtual Machines in Grid Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: 13th International Symposium on High-Performance Distributed Computing (HPDC-13 2004), 4-6 June 2004, Honolulu, Hawaii, USA, pp. 202-211, 2004, IEEE Computer Society, 0-7695-2175-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
9 | Nam Ling, Nien-Tsu Wang |
A Real-Time Video Decoder for Digital HDTV. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. VLSI Signal Process. ![In: J. VLSI Signal Process. 33(3), pp. 295-306, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
HDTV video decoder, MPEG-2 MP@HL decoder, digital TV, HDTV, video decoding |
9 | Elizabeth Varki, Arif Merchant, Jianzhang Xu, Xiaozhou Qiu |
An integrated performance model of disk arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 11th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2003), 12-15 October 2003, Orlando, FL, USA, pp. 296-305, 2003, IEEE Computer Society, 0-7695-2039-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
9 | Alberto Macii, Enrico Macii, Fabrizio Crudo, Roberto Zafalon |
A New Algorithm for Energy-Driven Data Compression in VLIW Embedded Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 10024-10029, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Data compression algorithms, system-level energy optimization, VLIW embedded processors |
Displaying result #1 - #100 of 105 (100 per page; Change: ) Pages: [ 1][ 2][ >>] |
|