|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 46 occurrences of 37 keywords
|
|
|
Results
Found 70 publication records. Showing 70 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
129 | Soontae Kim, Jongmin Lee 0002 |
Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 257-262, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
low power, data cache, write buffer |
119 | Farnaz Mounes-Toussi, David J. Lilja |
Write buffer design for cache-coherent shared-memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 506-511, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies |
91 | Afzal Malik, Bill Moyer, Roger Zhou |
Embedded cache architecture with programmable write buffer support for power and performance flexibility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002, pp. 98-107, 2002, ACM, 1-58113-575-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
cache control, copyback, push buffer, writethrough, programmable, cache management, write buffer |
88 | Wen-Tzeng Huang, C. T. Chen, Chin-Hsing Chen, C. C. Cheng |
Energy-Efficient Buffer Architecture for Flash Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MUE ![In: 2008 International Conference on Multimedia and Ubiquitous Engineering (MUE 2008), 24-26 April 2008, Busan, Korea, pp. 543-546, 2008, IEEE Computer Society, 978-0-7695-3134-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
FAB, Grey decision, read/write buffer architecture, optimal-read only-write buffer architecture, flash memory, LRU |
86 | Lisa Higham, LillAnne Jackson, Jalal Kawash |
Specifying memory consistency of write buffer multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 25(1), pp. 1, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Memory consistency framework, partial store order, relaxed memory order, sparc multiprocessors, total store order, write-buffer architectures, coherence, sequential consistency, alpha |
70 | Kevin Skadron, Douglas W. Clark |
Design Issues and Tradeoffs for Write Buffers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 144-155, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
56 | Jun Wang 0001, Yiming Hu |
A Novel Reordering Write Buffer to Improve Write Performance of Log-Structured File Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(12), pp. 1559-1572, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
write performance, file systems, storage systems, Log-structured File Systems |
46 | Woochul Kang, Sang Hyuk Son, John A. Stankovic |
Power-Aware Data Buffer Cache Management in Real-Time Embedded Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: The Fourteenth IEEE Internationl Conference on Embedded and Real-Time Computing Systems and Applications, RTCSA 2008, Kaohisung, Taiwan, 25-27 August 2008, Proceedings, pp. 35-44, 2008, IEEE Computer Society, 978-0-7695-3349-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
41 | Sang-Won Lee 0001, Dong-Joo Park, Tae-Sun Chung, Dong-Ho Lee, Sangwon Park, Ha-Joo Song |
A log buffer-based flash translation layer using fully-associative sector translation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 6(3), pp. 18, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
associative mapping, log blocks, Flash memory, address translation, FTL |
37 | Amer Diwan, David Tarditi, J. Eliot B. Moss |
Memory System Performance of Programs with Intensive Heap Allocation ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 13(3), pp. 244-273, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer |
37 | Nathan Tuck, Brad Calder, George Varghese |
Hardware and Binary Modification Support for Code Pointer Protection From Buffer Overflow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 37th Annual International Symposium on Microarchitecture (MICRO-37 2004), 4-8 December 2004, Portland, OR, USA, pp. 209-220, 2004, IEEE Computer Society, 0-7695-2126-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
32 | Xubin Chen, Yin Li, Tong Zhang 0002 |
Reducing Flash Memory Write Traffic by Exploiting a Few MBs of Capacitor-Powered Write Buffer Inside Solid-State Drives (SSDs). ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 68(3), pp. 426-439, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
32 | Mungyu Son, Junwhan Ahn, Sungjoo Yoo |
Nonvolatile Write Buffer-Based Journaling Bypass for Storage Write Reduction in Mobile Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(9), pp. 1747-1759, 2018. The full citation details ...](Pics/full.jpeg) |
2018 |
DBLP DOI BibTeX RDF |
|
32 | Zhiguang Chen, Fang Liu 0002, Yimo Du |
Reorder the Write Sequence by Virtual Write Buffer to Extend SSD's Lifespan. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: Network and Parallel Computing - 8th IFIP International Conference, NPC 2011, Changsha, China, October 21-23, 2011. Proceedings, pp. 263-276, 2011, Springer, 978-3-642-24402-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
32 | Ahmed M. Amin, Zeshan Chishti |
Rank-aware cache replacement and write buffering to improve DRAM energy efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 383-388, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
DRAM energy efficiency, cache replacement, write buffer |
32 | Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik |
A power and temperature aware DRAM architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 878-883, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
page hit aware write buffer, power, temperature, DRAM |
32 | M. Morioka |
S. Yamaguchi, T. Bandoh: Evaluation of Memory System for Integrated Prolog Processor IPP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989, pp. 203-210, 1989, ACM, 0-89791-319-1. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
Prolog |
28 | Guangyu Sun 0003, Xiangyu Dong, Yuan Xie 0001, Jian Li 0059, Yiran Chen 0001 |
A novel architecture of the 3D stacked MRAM L2 cache for CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 15th International Conference on High-Performance Computer Architecture (HPCA-15 2009), 14-18 February 2009, Raleigh, North Carolina, USA, pp. 239-249, 2009, IEEE Computer Society, 978-1-4244-2932-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
28 | Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik |
An approach for adaptive DRAM temperature and power management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 22nd Annual International Conference on Supercomputing, ICS 2008, Island of Kos, Greece, June 7-12, 2008, pp. 63-72, 2008, ACM, 978-1-60558-158-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
power, temperature, DRAM |
23 | Jinwoo Suh, Viktor K. Prasanna |
An Efficient Algorithm for Out-of-Core Matrix Transposition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(4), pp. 420-438, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
data transfer time, index computation time, I/O time, execution time, out-of-core, matrix transpose |
23 | Peng Li 0031, Dongsheng Wang 0002, Haixia Wang 0001, Meijuan Lu, Weimin Zheng |
LIRAC: Using Live Range Information to Optimize Memory Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARCS ![In: Architecture of Computing Systems - ARCS 2007, 20th International Conference, Zurich, Switzerland, March 12-15, 2007, Proceedings, pp. 28-42, 2007, Springer, 978-3-540-71267-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
LIRAC, Live Range, Cache, Memory Hierarchy, Write Buffer |
23 | Chung-Ho Chen, Arun K. Somani |
Architecture Technique Trade-Offs Using Mean Memory Delay Time. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(10), pp. 1089-1100, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
Bus width, cache hit ratio, memory cycle time, pipelined memory, read-bypassing write buffer, performance trade-off |
21 | Jie Li, Liyi Xiao, Linzhe Li, Hongchen Li, He Liu, Chenxu Wang |
A Write-Buffer Scheme to Protect Cache Memories Against Multiple-Bit Errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 10, pp. 89000-89010, 2022. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Shucheng Wang, Qiang Cao 0001, Ziyi Lu, Jie Yao |
Mlog: Multi-log Write Buffer upon Ultra-fast SSD RAID. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the 51st International Conference on Parallel Processing, ICPP 2022, Bordeaux, France, 29 August 2022 - 1 September 2022, pp. 24:1-24:11, 2022, ACM, 978-1-4503-9733-9. The full citation details ...](Pics/full.jpeg) |
2022 |
DBLP DOI BibTeX RDF |
|
21 | Lei Zhu, Yan Liu 0032, Zaimei Zhang |
ACWB: Access-Characteristic Write Buffer Management Scheme for Flash Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC/SmartCity/DSS ![In: 21st IEEE International Conference on High Performance Computing and Communications; 17th IEEE International Conference on Smart City; 5th IEEE International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2019, Zhangjiajie, China, August 10-12, 2019, pp. 123-129, 2019, IEEE, 978-1-7281-2058-4. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
21 | Ming Chen, John M. Ye, Tianzhou Chen, Hongjun Dai |
Shared write buffer to boost applications on SpMT architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 73(8), pp. 3508-3525, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
21 | Donghyun Kang, Se Jun Han, Young-Chang Kim, Young Ik Eom |
CLOCK-DNV: a write buffer algorithm for flash storage devices of consumer electronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Consumer Electron. ![In: IEEE Trans. Consumer Electron. 63(1), pp. 85-91, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
21 | Dongjin Kim, Kyu Ho Park, Chan-Hyun Youn |
SUPA: A Single Unified Read-Write Buffer and Pattern-Change-Aware FTL for the High Performance of Multi-Channel SSD. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Storage ![In: ACM Trans. Storage 13(4), pp. 32:1-32:30, 2017. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
21 | Hector Posadas, Luis Diaz, Eugenio Villar |
Static Write Buffer Cache Modeling to Increase Host-Compiled Simulation Accuracy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: Euromicro Conference on Digital System Design, DSD 2017, Vienna, Austria, August 30 - Sept. 1, 2017, pp. 47-53, 2017, IEEE Computer Society, 978-1-5386-2146-2. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
21 | Tae Hyung Lee, Minho Lee, Young Ik Eom |
An insightful write buffer scheme for improving SSD performance in home cloud server. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCE ![In: IEEE International Conference on Consumer Electronics, ICCE 2017, Las Vegas, NV, USA, January 8-10, 2017, pp. 164-165, 2017, IEEE, 978-1-5090-5544-9. The full citation details ...](Pics/full.jpeg) |
2017 |
DBLP DOI BibTeX RDF |
|
21 | Jongmin Lee 0002, Soontae Kim |
Write Buffer-Oriented Energy Reduction in the L1 Data Cache for Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 24(3), pp. 871-883, 2016. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
21 | Alireza Haghdoost, David H. C. Du |
OWBP: Flash-Aware Offline Write Buffer Policy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS Workshops ![In: 2016 IEEE International Parallel and Distributed Processing Symposium Workshops, IPDPS Workshops 2016, Chicago, IL, USA, May 23-27, 2016, pp. 1752-1758, 2016, IEEE Computer Society, 978-1-5090-3682-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
21 | Se Jun Han, Donghyun Kang, Young Ik Eom |
Hybrid write buffer algorithm for improving performance and endurance of nand flash storages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCE ![In: IEEE International Conference on Consumer Electronics, ICCE 2016, Las Vegas, NV, USA, January 7-11, 2016, pp. 83-84, 2016, IEEE, 978-1-4673-8364-6. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
21 | Ming Li, Xuanhua Shi, Wei Liu 0004, Hai Jin 0001, Yong Chen 0001 |
SSDUP: An Efficient SSD Write Buffer Using Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2016 IEEE International Conference on Cluster Computing, CLUSTER 2016, Taipei, Taiwan, September 12-16, 2016, pp. 166-167, 2016, IEEE Computer Society, 978-1-5090-3653-0. The full citation details ...](Pics/full.jpeg) |
2016 |
DBLP DOI BibTeX RDF |
|
21 | Mungyu Son, Sungkwang Lee, Kyungho Kim, Sungjoo Yoo, Sunggu Lee |
A small non-volatile write buffer to reduce storage writes in smartphones. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition, DATE 2015, Grenoble, France, March 9-13, 2015, pp. 713-718, 2015, ACM, 978-3-9815370-4-8. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP BibTeX RDF |
|
21 | John M. Ye, Songyuan Li, Tianzhou Chen |
Shared Write Buffer to Support Data Sharing Among Speculative Multi-threading Cores. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC/CSS/ICESS ![In: 17th IEEE International Conference on High Performance Computing and Communications, HPCC 2015, 7th IEEE International Symposium on Cyberspace Safety and Security, CSS 2015, and 12th IEEE International Conference on Embedded Software and Systems, ICESS 2015, New York, NY, USA, August 24-26, 2015, pp. 835-838, 2015, IEEE, 978-1-4799-8937-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
21 | John M. Ye, Hongjun Dai, Songyuan Li, Tianzhou Chen |
Shared Write Buffer to Support Speculative Execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC/CSS/ICESS ![In: 17th IEEE International Conference on High Performance Computing and Communications, HPCC 2015, 7th IEEE International Symposium on Cyberspace Safety and Security, CSS 2015, and 12th IEEE International Conference on Embedded Software and Systems, ICESS 2015, New York, NY, USA, August 24-26, 2015, pp. 1494-1499, 2015, IEEE, 978-1-4799-8937-9. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
21 | Chin-Hsien Wu, Kuo-Yi Chao |
FAWB: a file-aware write buffer management method for NAND flash memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RACS ![In: Proceedings of the 2015 Conference on research in adaptive and convergent systems, RACS 2015, Prague, Czech Republic, October 9-12, 2015, pp. 400-405, 2015, ACM, 978-1-4503-3738-0. The full citation details ...](Pics/full.jpeg) |
2015 |
DBLP DOI BibTeX RDF |
|
21 | Liang Shi, Jianhua Li 0003, Qing'an Li, Chun Jason Xue, Chengmo Yang, Xuehai Zhou |
A Unified Write Buffer Cache Management Scheme for Flash Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 22(12), pp. 2779-2792, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Sungmin Park, Jaehyuk Cha, Sooyong Kang |
Integrated write buffer management for solid state drives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Syst. Archit. ![In: J. Syst. Archit. 60(4), pp. 329-344, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Sheng-Min Huang, Li-Pin Chang |
A Locality-Preserving Write Buffer Design for Page-Mapping Multichannel SSDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC/CSS/ICESS ![In: 2014 IEEE International Conference on High Performance Computing and Communications, 6th IEEE International Symposium on Cyberspace Safety and Security, 11th IEEE International Conference on Embedded Software and Systems, HPCC/CSS/ICESS 2014, Paris, France, August 20-22, 2014, pp. 713-720, 2014, IEEE, 978-1-4799-6123-8. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
21 | Liang Shi, Jianhua Li 0003, Chun Jason Xue, Xuehai Zhou |
Cooperating Virtual Memory and Write Buffer Management for Flash-Based Storage Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 21(4), pp. 706-719, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
21 | Ning Lu, In-Sung Choi, Shin-Dug Kim |
A flash-aware write buffer scheme to enhance the performance of superblock-based NAND flash storage systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Microprocess. Microsystems ![In: Microprocess. Microsystems 37(3), pp. 345-357, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
21 | Seongjoon Do, Eunji Lee, Taeseok Kim |
An efficient write buffer management scheme considering the parallelism in solid-state drives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Electron. Express ![In: IEICE Electron. Express 10(4), pp. 20130018, 2013. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
21 | Mingyang Wang, Yiming Hu |
Exploit real-time fine-grained access patterns to partition write buffer to improve SSD performance and life-span. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPCCC ![In: IEEE 32nd International Performance Computing and Communications Conference, IPCCC 2013, San Diego, CA, USA, December 6-8, 2013, pp. 1-7, 2013, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
21 | Puyuan Yang, Peiquan Jin, Shouhong Wan, Lihua Yue |
HB-Storage: Optimizing SSDs with a HDD Write Buffer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WAIM Workshops ![In: Web-Age Information Management - WAIM 2013 International Workshops: HardBD, MDSP, BigEM, TMSN, LQPM, BDMS, Beidaihe, China, June 14-16, 2013. Proceedings, pp. 28-39, 2013, Springer, 978-3-642-39526-0. The full citation details ...](Pics/full.jpeg) |
2013 |
DBLP DOI BibTeX RDF |
|
21 | Guanying Wu, Xubin He, Benjamin Eckart |
An adaptive write buffer management scheme for flash-based SSDs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Storage ![In: ACM Trans. Storage 8(1), pp. 1:1-1:24, 2012. The full citation details ...](Pics/full.jpeg) |
2012 |
DBLP DOI BibTeX RDF |
|
21 | Liang Shi, Jianhua Li 0003, Chun Jason Xue, Chengmo Yang, Xuehai Zhou |
ExLRU: a unified write buffer cache management for flash memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Proceedings of the 11th International Conference on Embedded Software, EMSOFT 2011, part of the Seventh Embedded Systems Week, ESWeek 2011, Taipei, Taiwan, October 9-14, 2011, pp. 339-348, 2011, ACM, 978-1-4503-0714-7. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
21 | Liang Shi, Chun Jason Xue, Xuehai Zhou |
Cooperating Write Buffer Cache and Virtual Memory Management for Flash Memory Based Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: 17th IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2011, Chicago, Illinois, USA, 11-14 April 2011, pp. 147-156, 2011, IEEE Computer Society, 978-0-7695-4344-4. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
21 | Li-Pin Chang, You-Chiuan Su |
Plugging versus logging: a new approach to write buffer management for solid-state disks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 48th Design Automation Conference, DAC 2011, San Diego, California, USA, June 5-10, 2011, pp. 23-28, 2011, ACM, 978-1-4503-0636-2. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
|
21 | Wen-Tzeng Huang, Chin-Hsing Chen, Hae-Duck Joshua Jeong, C. T. Chen |
A New Adaptive Grey Decision-Energy Aware Management System Based On The Optimal Read Only-Write Buffer Architecture For Flash Memory In Embedded And Mobile Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intell. Autom. Soft Comput. ![In: Intell. Autom. Soft Comput. 16(4), pp. 495-506, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
21 | Hui Zhao, Peiquan Jin, Puyuan Yang, Lihua Yue |
BPCLC: An Efficient Write Buffer Management Scheme for Flash-Based Solid State Disks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Digit. Content Technol. its Appl. ![In: J. Digit. Content Technol. its Appl. 4(6), pp. 123-133, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP BibTeX RDF |
|
21 | Jian Hu, Hong Jiang 0001, Lei Tian 0001, Lei Xu 0038 |
PUD-LRU: An Erase-Efficient Write Buffer Management Algorithm for Flash Memory SSD. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: MASCOTS 2010, 18th Annual IEEE/ACM International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, Miami, Florida, USA, August 17-19, 2010, pp. 69-78, 2010, IEEE Computer Society, 978-0-7695-4197-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
21 | Yuki Fujimura, Osamu Hirabayashi, Takahiko Sasaki, Azuma Suzuki, Atsushi Kawasumi, Yasuhisa Takeyama, Keiichi Kushida, Gou Fukano, Akira Katayama, Yusuke Niki, Tomoaki Yabe |
A configurable SRAM with constant-negative-level write buffer for low-voltage operation with 0.149µm2 cell in 32nm high-k metal-gate CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISSCC ![In: IEEE International Solid-State Circuits Conference, ISSCC 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010, pp. 348-349, 2010, IEEE, 978-1-4244-6033-5. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
21 | Guanying Wu, Benjamin Eckart, Xubin He |
BPAC: An adaptive write buffer management scheme for flash-based Solid State Drives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSST ![In: IEEE 26th Symposium on Mass Storage Systems and Technologies, MSST 2012, Lake Tahoe, Nevada, USA, May 3-7, 2010, pp. 1-6, 2010, IEEE Computer Society, 978-1-4244-7153-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
21 | Sooyong Kang, Sungmin Park, Hoyoung Jung, Hyoki Shim, Jaehyuk Cha |
Performance Trade-Offs in Using NVRAM Write Buffer for Flash Memory-Based Storage Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 58(6), pp. 744-758, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Xiaojun Ruan, Adam Manzanares, Kiranmai Bellam, Xiao Qin 0001, Ziliang Zong |
DARAW: a new write buffer to improve parallel I/O energy-efficiency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2009 ACM Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009, pp. 299-304, 2009, ACM, 978-1-60558-166-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Xiaojun Ruan, Adam Manzanares, Shu Yin, Ziliang Zong, Xiao Qin 0001 |
Performance Evaluation of Energy-Efficient Parallel I/O Systems with Write Buffer Disks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: ICPP 2009, International Conference on Parallel Processing, Vienna, Austria, 22-25 September 2009, pp. 164-171, 2009, IEEE Computer Society, 978-0-7695-3802-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Sungmin Park, Hoyoung Jung, Hyoki Shim, Sooyong Kang, Jaehyuk Cha |
Using Non-Volatile RAM as a Write Buffer for NAND Flash Memory-based Storage Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 16th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2008), Baltimore, Maryland, USA, September 8-10, 2008, pp. 374-376, 2008, IEEE Computer Society, 978-1-4244-2818-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
|
21 | Sungmin Park, Hoyoung Jung, Hyoki Shim, Sooyong Kang, Jaehyuk Cha |
Write-Buffer-Aware Address Mapping for NAND Flash Memory Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 16th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2008), Baltimore, Maryland, USA, September 8-10, 2008, pp. 377-378, 2008, IEEE Computer Society, 978-1-4244-2818-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
|
21 | Bushra Ahsan |
Cache improvement techniques reconsidered: a write-buffer case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Richard Tapia Celebration of Diversity in Computing Conference ![In: Proceedings of the Richard Tapia Celebration of Diversity in Computing Conference 2007, Orlando, Florida, USA, October 14-17, 2007, pp. 22, 2007, ACM, 978-1-59593-866-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Jun Wang 0001, Yiming Hu |
WOLF - A Novel Reordering Write Buffer to Boost the Performance of Log-Structured File Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FAST ![In: Proceedings of the FAST '02 Conference on File and Storage Technologies, January 28-30, 2002, Monterey, California, USA, pp. 47-60, 2002, USENIX, 1-880446-03-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP BibTeX RDF |
|
21 | Pong P. Chu, Ramana Gottipati |
Write Buffer Design for On-Chip Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computer & Processors, ICCD '94, Cambridge, MA, USA, October 10-12, 1994, pp. 311-316, 1994, IEEE Computer Society, 0-8186-6565-3. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
21 | Santosh G. Abraham, Rabin A. Sugumar |
Fast Efficient Simulation of Write-Buffer Configurations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 27th Annual Hawaii International Conference on System Sciences (HICSS-27), January 4-7, 1994, Maui, Hawaii, USA, pp. 231-240, 1994, IEEE Computer Society, 0-8186-5090-7. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP BibTeX RDF |
|
19 | Dan Feng 0001, Hong Jiang 0001, Yifeng Zhu |
I/O Response Time in a Fault-Tolerant Parallel Virtual File System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPC ![In: Network and Parallel Computing, IFIP International Conference, NPC 2004, Wuhan, China, October 18-20, 2004, Proceedings, pp. 248-251, 2004, Springer, 3-540-23388-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
19 | Marcio G. Silva, Inês de Castro Dutra, Ricardo Bianchini, Vítor Santos Costa |
The Influence of Architectural Parameters on the Performance of Parallel Logic Programming Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PADL ![In: Practical Aspects of Declarative Languages, First International Workshop, PADL '99, San Antonio, Texas, USA, January 18-19, 1999, Proceedings, pp. 122-136, 1999, Springer, 3-540-65527-1. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
14 | Werner Vogels |
File system usage in Windows NT 4.0. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 17th ACM Symposium on Operating System Principles, SOSP 1999, Kiawah Island Resort, near Charleston, South Carolina, USA, December 12-15, 1999, pp. 93-109, 1999, ACM, 1-58113-140-2. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
14 | Alvin R. Lebeck, David A. Wood 0001 |
Dynamic Self-Invalidation: Reducing Coherence Overhead in Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 22nd Annual International Symposium on Computer Architecture, ISCA '95, Santa Margherita Ligure, Italy, June 22-24, 1995, pp. 48-59, 1995, ACM, 0-89791-698-0. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
|
9 | Wonchul Lee, Hyojin Choi, Wonyong Sung |
Algorithm and Software Optimization of Variable Block Size Motion Estimation for H.264/AVC on a VLIW-SIMD DSP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 51(3), pp. 289-302, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
variable block size motion estimation, H.264/AVC encoder, VLIW (very long instruction word), SIMD (single instruction multiple data) |
9 | Erhard Rahm |
Performance Evaluation of Extended Storage Architectures for Transaction Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1992 ACM SIGMOD International Conference on Management of Data, San Diego, California, USA, June 2-5, 1992., pp. 308-317, 1992, ACM Press, 978-0-89791-521-2. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #70 of 70 (100 per page; Change: )
|
|