The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase write-buffer (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1989-2003 (15) 2004-2009 (17) 2010-2013 (17) 2014-2017 (16) 2018-2022 (5)
Publication types (Num. hits)
article(23) inproceedings(47)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 46 occurrences of 37 keywords

Results
Found 70 publication records. Showing 70 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
129Soontae Kim, Jongmin Lee 0002 Write buffer-oriented energy reduction in the L1 data cache of two-level caches for the embedded system. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF low power, data cache, write buffer
119Farnaz Mounes-Toussi, David J. Lilja Write buffer design for cache-coherent shared-memory multiprocessors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies
91Afzal Malik, Bill Moyer, Roger Zhou Embedded cache architecture with programmable write buffer support for power and performance flexibility. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cache control, copyback, push buffer, writethrough, programmable, cache management, write buffer
88Wen-Tzeng Huang, C. T. Chen, Chin-Hsing Chen, C. C. Cheng Energy-Efficient Buffer Architecture for Flash Memory. Search on Bibsonomy MUE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FAB, Grey decision, read/write buffer architecture, optimal-read only-write buffer architecture, flash memory, LRU
86Lisa Higham, LillAnne Jackson, Jalal Kawash Specifying memory consistency of write buffer multiprocessors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Memory consistency framework, partial store order, relaxed memory order, sparc multiprocessors, total store order, write-buffer architectures, coherence, sequential consistency, alpha
70Kevin Skadron, Douglas W. Clark Design Issues and Tradeoffs for Write Buffers. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
56Jun Wang 0001, Yiming Hu A Novel Reordering Write Buffer to Improve Write Performance of Log-Structured File Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF write performance, file systems, storage systems, Log-structured File Systems
46Woochul Kang, Sang Hyuk Son, John A. Stankovic Power-Aware Data Buffer Cache Management in Real-Time Embedded Databases. Search on Bibsonomy RTCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Sang-Won Lee 0001, Dong-Joo Park, Tae-Sun Chung, Dong-Ho Lee, Sangwon Park, Ha-Joo Song A log buffer-based flash translation layer using fully-associative sector translation. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF associative mapping, log blocks, Flash memory, address translation, FTL
37Amer Diwan, David Tarditi, J. Eliot B. Moss Memory System Performance of Programs with Intensive Heap Allocation Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer
37Nathan Tuck, Brad Calder, George Varghese Hardware and Binary Modification Support for Code Pointer Protection From Buffer Overflow. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Xubin Chen, Yin Li, Tong Zhang 0002 Reducing Flash Memory Write Traffic by Exploiting a Few MBs of Capacitor-Powered Write Buffer Inside Solid-State Drives (SSDs). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Mungyu Son, Junwhan Ahn, Sungjoo Yoo Nonvolatile Write Buffer-Based Journaling Bypass for Storage Write Reduction in Mobile Devices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Zhiguang Chen, Fang Liu 0002, Yimo Du Reorder the Write Sequence by Virtual Write Buffer to Extend SSD's Lifespan. Search on Bibsonomy NPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
32Ahmed M. Amin, Zeshan Chishti Rank-aware cache replacement and write buffering to improve DRAM energy efficiency. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF DRAM energy efficiency, cache replacement, write buffer
32Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik A power and temperature aware DRAM architecture. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF page hit aware write buffer, power, temperature, DRAM
32M. Morioka S. Yamaguchi, T. Bandoh: Evaluation of Memory System for Integrated Prolog Processor IPP. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF Prolog
28Guangyu Sun 0003, Xiangyu Dong, Yuan Xie 0001, Jian Li 0059, Yiran Chen 0001 A novel architecture of the 3D stacked MRAM L2 cache for CMPs. Search on Bibsonomy HPCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Song Liu, Seda Ogrenci Memik, Yu Zhang, Gokhan Memik An approach for adaptive DRAM temperature and power management. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF power, temperature, DRAM
23Jinwoo Suh, Viktor K. Prasanna An Efficient Algorithm for Out-of-Core Matrix Transposition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF data transfer time, index computation time, I/O time, execution time, out-of-core, matrix transpose
23Peng Li 0031, Dongsheng Wang 0002, Haixia Wang 0001, Meijuan Lu, Weimin Zheng LIRAC: Using Live Range Information to Optimize Memory Access. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LIRAC, Live Range, Cache, Memory Hierarchy, Write Buffer
23Chung-Ho Chen, Arun K. Somani Architecture Technique Trade-Offs Using Mean Memory Delay Time. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Bus width, cache hit ratio, memory cycle time, pipelined memory, read-bypassing write buffer, performance trade-off
21Jie Li, Liyi Xiao, Linzhe Li, Hongchen Li, He Liu, Chenxu Wang A Write-Buffer Scheme to Protect Cache Memories Against Multiple-Bit Errors. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Shucheng Wang, Qiang Cao 0001, Ziyi Lu, Jie Yao Mlog: Multi-log Write Buffer upon Ultra-fast SSD RAID. Search on Bibsonomy ICPP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
21Lei Zhu, Yan Liu 0032, Zaimei Zhang ACWB: Access-Characteristic Write Buffer Management Scheme for Flash Memory. Search on Bibsonomy HPCC/SmartCity/DSS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
21Ming Chen, John M. Ye, Tianzhou Chen, Hongjun Dai Shared write buffer to boost applications on SpMT architecture. Search on Bibsonomy J. Supercomput. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Donghyun Kang, Se Jun Han, Young-Chang Kim, Young Ik Eom CLOCK-DNV: a write buffer algorithm for flash storage devices of consumer electronics. Search on Bibsonomy IEEE Trans. Consumer Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Dongjin Kim, Kyu Ho Park, Chan-Hyun Youn SUPA: A Single Unified Read-Write Buffer and Pattern-Change-Aware FTL for the High Performance of Multi-Channel SSD. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Hector Posadas, Luis Diaz, Eugenio Villar Static Write Buffer Cache Modeling to Increase Host-Compiled Simulation Accuracy. Search on Bibsonomy DSD The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Tae Hyung Lee, Minho Lee, Young Ik Eom An insightful write buffer scheme for improving SSD performance in home cloud server. Search on Bibsonomy ICCE The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
21Jongmin Lee 0002, Soontae Kim Write Buffer-Oriented Energy Reduction in the L1 Data Cache for Embedded Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Alireza Haghdoost, David H. C. Du OWBP: Flash-Aware Offline Write Buffer Policy. Search on Bibsonomy IPDPS Workshops The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Se Jun Han, Donghyun Kang, Young Ik Eom Hybrid write buffer algorithm for improving performance and endurance of nand flash storages. Search on Bibsonomy ICCE The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Ming Li, Xuanhua Shi, Wei Liu 0004, Hai Jin 0001, Yong Chen 0001 SSDUP: An Efficient SSD Write Buffer Using Pipeline. Search on Bibsonomy CLUSTER The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
21Mungyu Son, Sungkwang Lee, Kyungho Kim, Sungjoo Yoo, Sunggu Lee A small non-volatile write buffer to reduce storage writes in smartphones. Search on Bibsonomy DATE The full citation details ... 2015 DBLP  BibTeX  RDF
21John M. Ye, Songyuan Li, Tianzhou Chen Shared Write Buffer to Support Data Sharing Among Speculative Multi-threading Cores. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21John M. Ye, Hongjun Dai, Songyuan Li, Tianzhou Chen Shared Write Buffer to Support Speculative Execution. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Chin-Hsien Wu, Kuo-Yi Chao FAWB: a file-aware write buffer management method for NAND flash memory. Search on Bibsonomy RACS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Liang Shi, Jianhua Li 0003, Qing'an Li, Chun Jason Xue, Chengmo Yang, Xuehai Zhou A Unified Write Buffer Cache Management Scheme for Flash Memory. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Sungmin Park, Jaehyuk Cha, Sooyong Kang Integrated write buffer management for solid state drives. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Sheng-Min Huang, Li-Pin Chang A Locality-Preserving Write Buffer Design for Page-Mapping Multichannel SSDs. Search on Bibsonomy HPCC/CSS/ICESS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
21Liang Shi, Jianhua Li 0003, Chun Jason Xue, Xuehai Zhou Cooperating Virtual Memory and Write Buffer Management for Flash-Based Storage Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Ning Lu, In-Sung Choi, Shin-Dug Kim A flash-aware write buffer scheme to enhance the performance of superblock-based NAND flash storage systems. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Seongjoon Do, Eunji Lee, Taeseok Kim An efficient write buffer management scheme considering the parallelism in solid-state drives. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Mingyang Wang, Yiming Hu Exploit real-time fine-grained access patterns to partition write buffer to improve SSD performance and life-span. Search on Bibsonomy IPCCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Puyuan Yang, Peiquan Jin, Shouhong Wan, Lihua Yue HB-Storage: Optimizing SSDs with a HDD Write Buffer. Search on Bibsonomy WAIM Workshops The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
21Guanying Wu, Xubin He, Benjamin Eckart An adaptive write buffer management scheme for flash-based SSDs. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
21Liang Shi, Jianhua Li 0003, Chun Jason Xue, Chengmo Yang, Xuehai Zhou ExLRU: a unified write buffer cache management for flash memory. Search on Bibsonomy EMSOFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Liang Shi, Chun Jason Xue, Xuehai Zhou Cooperating Write Buffer Cache and Virtual Memory Management for Flash Memory Based Systems. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Li-Pin Chang, You-Chiuan Su Plugging versus logging: a new approach to write buffer management for solid-state disks. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
21Wen-Tzeng Huang, Chin-Hsing Chen, Hae-Duck Joshua Jeong, C. T. Chen A New Adaptive Grey Decision-Energy Aware Management System Based On The Optimal Read Only-Write Buffer Architecture For Flash Memory In Embedded And Mobile Devices. Search on Bibsonomy Intell. Autom. Soft Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Hui Zhao, Peiquan Jin, Puyuan Yang, Lihua Yue BPCLC: An Efficient Write Buffer Management Scheme for Flash-Based Solid State Disks. Search on Bibsonomy J. Digit. Content Technol. its Appl. The full citation details ... 2010 DBLP  BibTeX  RDF
21Jian Hu, Hong Jiang 0001, Lei Tian 0001, Lei Xu 0038 PUD-LRU: An Erase-Efficient Write Buffer Management Algorithm for Flash Memory SSD. Search on Bibsonomy MASCOTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Yuki Fujimura, Osamu Hirabayashi, Takahiko Sasaki, Azuma Suzuki, Atsushi Kawasumi, Yasuhisa Takeyama, Keiichi Kushida, Gou Fukano, Akira Katayama, Yusuke Niki, Tomoaki Yabe A configurable SRAM with constant-negative-level write buffer for low-voltage operation with 0.149µm2 cell in 32nm high-k metal-gate CMOS. Search on Bibsonomy ISSCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Guanying Wu, Benjamin Eckart, Xubin He BPAC: An adaptive write buffer management scheme for flash-based Solid State Drives. Search on Bibsonomy MSST The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
21Sooyong Kang, Sungmin Park, Hoyoung Jung, Hyoki Shim, Jaehyuk Cha Performance Trade-Offs in Using NVRAM Write Buffer for Flash Memory-Based Storage Devices. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Xiaojun Ruan, Adam Manzanares, Kiranmai Bellam, Xiao Qin 0001, Ziliang Zong DARAW: a new write buffer to improve parallel I/O energy-efficiency. Search on Bibsonomy SAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Xiaojun Ruan, Adam Manzanares, Shu Yin, Ziliang Zong, Xiao Qin 0001 Performance Evaluation of Energy-Efficient Parallel I/O Systems with Write Buffer Disks. Search on Bibsonomy ICPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Sungmin Park, Hoyoung Jung, Hyoki Shim, Sooyong Kang, Jaehyuk Cha Using Non-Volatile RAM as a Write Buffer for NAND Flash Memory-based Storage Devices. Search on Bibsonomy MASCOTS The full citation details ... 2008 DBLP  BibTeX  RDF
21Sungmin Park, Hoyoung Jung, Hyoki Shim, Sooyong Kang, Jaehyuk Cha Write-Buffer-Aware Address Mapping for NAND Flash Memory Devices. Search on Bibsonomy MASCOTS The full citation details ... 2008 DBLP  BibTeX  RDF
21Bushra Ahsan Cache improvement techniques reconsidered: a write-buffer case study. Search on Bibsonomy Richard Tapia Celebration of Diversity in Computing Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Jun Wang 0001, Yiming Hu WOLF - A Novel Reordering Write Buffer to Boost the Performance of Log-Structured File Systems. Search on Bibsonomy FAST The full citation details ... 2002 DBLP  BibTeX  RDF
21Pong P. Chu, Ramana Gottipati Write Buffer Design for On-Chip Cache. Search on Bibsonomy ICCD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Santosh G. Abraham, Rabin A. Sugumar Fast Efficient Simulation of Write-Buffer Configurations. Search on Bibsonomy HICSS (1) The full citation details ... 1994 DBLP  BibTeX  RDF
19Dan Feng 0001, Hong Jiang 0001, Yifeng Zhu I/O Response Time in a Fault-Tolerant Parallel Virtual File System. Search on Bibsonomy NPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Marcio G. Silva, Inês de Castro Dutra, Ricardo Bianchini, Vítor Santos Costa The Influence of Architectural Parameters on the Performance of Parallel Logic Programming Systems. Search on Bibsonomy PADL The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Werner Vogels File system usage in Windows NT 4.0. Search on Bibsonomy SOSP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Alvin R. Lebeck, David A. Wood 0001 Dynamic Self-Invalidation: Reducing Coherence Overhead in Shared-Memory Multiprocessors. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Wonchul Lee, Hyojin Choi, Wonyong Sung Algorithm and Software Optimization of Variable Block Size Motion Estimation for H.264/AVC on a VLIW-SIMD DSP. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF variable block size motion estimation, H.264/AVC encoder, VLIW (very long instruction word), SIMD (single instruction multiple data)
9Erhard Rahm Performance Evaluation of Extended Storage Architectures for Transaction Processing. Search on Bibsonomy SIGMOD Conference The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #70 of 70 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license