The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase write-through (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-2001 (15) 2002-2008 (15) 2009-2018 (11)
Publication types (Num. hits)
article(9) inproceedings(32)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 62 occurrences of 57 keywords

Results
Found 41 publication records. Showing 41 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
78Farnaz Mounes-Toussi, David J. Lilja Write buffer design for cache-coherent shared-memory multiprocessors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF write-buffer configurations, one word per buffer entry, one block per buffer entry, write-through, write-back, competitive-performance, shared-memory multiprocessors, shared memory systems, cache-coherent, memory architecture, buffer storage, cache storage, execution-driven simulator, write policies
58Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
44Kevin Skadron, Douglas W. Clark Design Issues and Tradeoffs for Write Buffers. Search on Bibsonomy HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Peter M. Chen, Wee Teck Ng, Subhachandra Chandra, Christopher M. Aycock, Gurushankar Rajamani, David E. Lowell The Rio File Cache: Surviving Operating System Crashes. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
36Jianwei Dai, Lei Wang 0003 Way-tagged cache: an energy-efficient L2 cache architecture under write-through policy. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power technique, way-tag array, cache
30Shen-Fu Hsiao, Sze-Yun Lin, Tze-Chong Cheng, Ming-Yu Tsai An Automatic Cache Generator Based on Content-Addressable Memory. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Tei-Wei Kuo, Yuan-Ting Kao, Chin-Fu Kuo Two-Version Based Concurrency Control and Recovery in Real-Time Client/Server Databases. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF client/server databases, write through procedure, concurrency control, recovery, logging, Real-time database, read-only transactions
28Amer Diwan, David Tarditi, J. Eliot B. Moss Memory System Performance of Programs with Intensive Heap Allocation Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic storage reclamation, copying garbage collection, heap allocation, page mode, subblock placement, write through, write-back, write-miss policy, garbage collection, generational garbage collection, write-policy, write-buffer
28Qing Yang 0001, Laxmi N. Bhuyan, Bao-Chyn Liu Analysis and Comparison of Cache Coherence Protocols for a Packet-Switched Multiprocessor. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF packet-switched multiprocessor, Write-Once, Write-Through, Berkeley, Illinois, Firefly, Dragon, invalidation signals, write-back, protocols, queueing theory, packet switching, multiprocessing systems, analytical models, cache coherence protocols, queuing networks, mean value analysis, Synapse
27Linjun Mei, Dan Feng 0001, Jianxi Chen, Lingfang Zeng, Jingning Liu A Write-Through Cache Method to Improve Small Write Performance of SSD-Based RAID. Search on Bibsonomy NAS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Wee Teck Ng, Peter M. Chen The Systematic Improvement of Fault Tolerance in the Rio File Cache. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF write-back file cache, reliable memory, software fault injection
21Jongmin Lee 0002, Soontae Kim An energy-delay efficient 2-level data cache architecture for embedded system. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 2-level data cache, early cache hit predictor, one-way write
21Alessandro Di Marco Exploiting Commodity Hard-Disk Geometry to Efficiently Preserve Data Consistency. Search on Bibsonomy EPEW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF rotational latency reduction, disk characterization, performance, data layout, measurement techniques, disk drives
20Wei Zhang 0002 Computing Cache Vulnerability to Transient Errors and Its Implication. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Wee Teck Ng, Peter M. Chen The Design and Verification of the Rio File Cache. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF reliable main memory, File systems, software fault injection
20David A. Koufaty, Josep Torrellas Compiler Support for Data Forwarding in Scalable Shared-Memory Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache hierarchy performance, compiler algorithms to hide memory latency, shared-memory multiprocessors, cache coherence protocols, data forwarding
15Pierre Guironnet de Massas, Frédéric Pétrot Comparison of memory write policies for NoC based Multicore Cache Coherent Systems. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jerry Hom, Ulrich Kremer Execution context optimization for disk energy. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF synchronization, user study, multiprogramming, runtime adaptation
15Jaehyung Kim, Hongchan Roh, Sanghyun Park 0003 Selective I/O Bypass and Load Balancing Method for Write-Through SSD Caching in Big Data Analytics. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Hao Liu, Clement Devigne, Lucas Garcia, Quentin L. Meunier, Franck Wajsbürt, Alain Greiner RWT: Suppressing Write-Through Cost When Coherence is Not Needed. Search on Bibsonomy ISVLSI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Jianwei Dai, Lei Wang 0003 An Energy-Efficient L2 Cache Architecture Using Way Tag Information Under Write-Through Policy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Yuan Lin Yeoh, Bo Wang 0020, Xiangyao Yu, Tony T. Kim A 0.4V 7T SRAM with write through virtual ground and ultra-fine grain power gating switches. Search on Bibsonomy ISCAS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Geewhun Seok, Hong Kim, Baker Mohammad Write-through method for embedded memory with compression Scan-based testing. Search on Bibsonomy VTS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Ana Bosque, Víctor Viñals, Pablo Ibáñez, José María Llabería Filtering Directory Lookups in CMPs with Write-Through Caches. Search on Bibsonomy Euro-Par (1) The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Tei-Wei Kuo, Yen-Hsi Hou, Kam-yiu Lam The Impacts of Write-Through Procedures and Checkpointing on Real-Time Concurrency Control. Search on Bibsonomy Comput. J. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Alan Jay Smith Characterizing the Storage Process and Its Effect on the Update of Main Memory by Write Through. Search on Bibsonomy J. ACM The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
13Steve Frank, Armond Inselberg Synapse tightly coupled multiprocessors: a new approach to solve old problems. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
12Marco Annaratone, Roland Rühl Performance Measurements on a Commercial Multiprocessor Running Parallel Code. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF C, FORTRAN
11Chenjie Yu, Peter Petrov Latency and bandwidth efficient communication through system customization for embedded multiprocessors. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF snoop protocol, embedded multiprocessor
11Srivatsan Narasimhan, Sohum Sohoni, Yiming Hu A Log-Based Write-Back Mechanism for Cooperative Caching. Search on Bibsonomy IPDPS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Write Performance, Networks of Workstations, Distributed Architectures, Cooperative Caching, Disk Caching
11Nestoras Tzartzanis, William W. Walker A Transparent Voltage Conversion Method and Its Application to a Dual-Supply-Voltage Register File. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Daranee Hormdee, Jim D. Garside, Stephen B. Furber An Asynchronous Victim Cache. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF copy-back cache architecture, asynchronous design, victim cache
11Om P. Agrawal, Arthur V. Pohm Cache memory systems for multiprocessor architecture. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
7Isaac Gelado, John H. Kelm, Shane Ryoo, Steven S. Lumetta, Nacho Navarro, Wen-mei W. Hwu CUBA: an architecture for efficient CPU/co-processor data communication. Search on Bibsonomy ICS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF co-processors
7Luong Dinh Hung, Hidetsugu Irie, Masahiro Goshima, Shuichi Sakai Utilization of SECDED for soft error and variation-induced defect tolerance in caches. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Robin Dhamankar, Hanuma Kodavalla InProcDiskSim: testing database recovery on commodity disk drives. Search on Bibsonomy DBTest The full citation details ... 2009 DBLP  DOI  BibTeX  RDF verification, testing, database recovery
6Jörg-Christian Niemann, Christian Liß, Mario Porrmann, Ulrich Rückert 0001 A Multiprocessor Cache for Massively Parallel SoC Architectures. Search on Bibsonomy ARCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
6Kazunori Ogata, Hideaki Komatsu, Toshio Nakatani Bytecode fetch optimization for a Java interpreter. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF pipelined interpreter, stack caching, Java, performance, superscalar processor, PowerPC, bytecode interpreter
6Cristiana Amza, Alan L. Cox, Willy Zwaenepoel Data Replication Strategies for Fault Tolerance and Availability on Commodity Clusters. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reliable memory, primary-backup, cluster, fault tolerance, replication
6Ping Lin, C. Sekar Chandersekaran Integration of DCE and Local Registries: Design Approaches. Search on Bibsonomy CCS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
6Michael N. Nelson, Brent B. Welch, John K. Ousterhout Caching in the Sprite Network File System. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #41 of 41 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license