The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "CODES"( http://dblp.L3S.de/Venues/CODES )

URL (DBLP): http://dblp.uni-trier.de/db/conf/codes

Publication years (Num. hits)
1994 (29) 1996 (17) 1997 (28) 1998 (23) 1999 (43) 2000 (31) 2001 (45) 2002 (37)
Publication types (Num. hits)
inproceedings(245) proceedings(8)
Venues (Conferences, Journals, ...)
CODES(253)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 369 occurrences of 251 keywords

Results
Found 253 publication records. Showing 253 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Donatella Sciuto, Fabio Salice, Luigi Pomante, William Fornaciari Metrics for design space exploration of heterogeneous multiprocessor embedded systems. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF heterogeneous multiprocessor Embedded Systems, metrics for Hw/Sw partitioning, system-level design
1Traian Pop, Petru Eles, Zebo Peng Holistic scheduling and analysis of mixed time/event-triggered distributed embedded systems. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Daler N. Rakhmatov, Sarma B. K. Vrudhula Hardware-software bipartitioning for dynamically reconfigurable systems. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF network flows, reconfigurable systems, hardware-software partitioning
1Jeffry T. Russell Program slicing for codesign. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Axel Siebenborn, Oliver Bringmann 0001, Wolfgang Rosenstiel Worst-case performance analysis of parallel, communicating software processes. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1T. Vinod Kumar Gupta, Roberto E. Ko, Rajeev Barua Compiler-directed customization of ASIP cores. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF soft cores, embedded, customization, ASIP
1Peter Petrov, Alex Orailoglu Energy frugal tags in reprogrammable I-caches for application-specific embedded processors. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Brian Grattan, Greg Stitt, Frank Vahid Codesign-extended applications. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hardware/software cospecification, system-on-a-chip, hardware/software partitioning, platform-based design, configurable logic
1Maurizio Palesi, Tony Givargis Multi-objective design space exploration using genetic algorithms. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Pareto-optimal configurations, system-on-a-chip architectures, genetic algorithms, low power design, design space exploration
1Rajeshwari Banakar, Stefan Steinke, Bo-Sik Lee, M. Balakrishnan, Peter Marwedel Scratchpad memory: design alternative for cache on-chip memory in embedded systems. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Cactis, SCRATCHPAD
1Ismail Kadayif, Mahmut T. Kandemir, Ibrahim Kolcu, Guangyu Chen Locality-conscious process scheduling in embedded systems. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Per Bjuréus, Mikael Millberg, Axel Jantsch FPGA resource and timing estimation from Matlab execution traces. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, estimation, Matlab, MATLAB, design exploration
1Abdenour Azzedine, Jean-Philippe Diguet, Jean Luc Philippe Large exploration for HW/SW partitioning of multirate and aperiodic real-time systems. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF HW / SW Codesign, RT scheduling, system design exploration
1Avishay Orpaz, Shlomo Weiss A study of CodePack: optimizing embedded code space. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF codepack, optimization, embedded systems, embedded software, code compression
1Guangyu Chen, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin, Wayne H. Wolf Energy savings through compression in embedded Java environments. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded Java, Java, compression, leakage energy
1Mohamed Shalan, Vincent John Mooney III Hardware support for real-time embedded multiprocessor system-on-a-chip memory management. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Atalanta, SoCDMMU, real-time operating systems., two-level memory management, real-time systems, embedded systems, System-on-a-Chip, dynamic memory management
1JoAnn M. Paul, Christopher M. Eatedali, Donald E. Thomas The design context of concurrent computation systems. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation, modeling, hardware/software codesign, concurrent computation, digital system design
1Todor P. Stefanov, Bart Kienhuis, Ed F. Deprettere Algorithmic transformation techniques for efficient exploration of alternative application instances. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF application instances, design space exploration, system-level design, algorithmic transformations
1Hyunok Oh, Soonhoi Ha Hardware-software cosynthesis of multi-mode multi-task embedded systems with real-time constraints. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hardware-software cosynthesis, multi-task, multi-mode
1Marek Jersak, Kai Richter 0001, Rafik Henia, Rolf Ernst, Frank Slomka Transformation of SDL specifications for system-level timing analysis. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF SDL
1Jinfeng Liu 0006, Pai H. Chou, Nader Bagherzadeh Communication speed selection for embedded systems with networked voltage-scalable processors. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1G. D. Nagendra, V. G. Prem Kumar, B. S. Sheshadri Chakravarthy Simulation bridge: a framework for multi-processor simulation. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF simulation framework, instruction set simulator, multiprocessor simulation
1Dag Björklund, Johan Lilius A language for multiple models of computation. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Ali Dasdan A strongly polynomial-time algorithm for over-constraint resolution: efficient debugging of timing constraint violations. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scheduling, high-level synthesis, constraint satisfaction, timing constraints, behavioral synthesis, rate analysis
1Massimiliano Chiodo Optimization and synthesis for complex reactive embedded systems by incremental collapsing. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF real-time systems, embedded systems, finite-state machines, software synthesis
1Christian Kreiner, Christian Steger, Egon Teiniker, Reinhold Weiss A novel codesign approach based on distributed virtual machines. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Linux
1Sunghyun Lee, Sungjoo Yoo, Kiyoung Choi Reconfigurable SoC design with hierarchical FSM and synchronous dataflow model. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Jörg Henkel, Xiaobo Sharon Hu, Rajesh Gupta 0001, Sri Parameswaran (eds.) Proceedings of the Tenth International Symposium on Hardware/Software Codesign, CODES 2002, Estes Park, Colorado, USA, May 6-8, 2002 Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Vishnu Swaminathan, Krishnendu Chakrabarty Pruning-based energy-optimal device scheduling for hard real-time systems. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Martijn J. Rutten, Jos T. J. van Eijndhoven, Evert-Jan D. Pol Design of multi-tasking coprocessor control for Eclipse. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Felice Balarin, Luciano Lavagno, Claudio Passerone, Alberto L. Sangiovanni-Vincentelli, Yosinori Watanabe, Guang Yang 0004 Concurrent execution semantics and sequential simulation algorithms for the metropolis meta-model. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Java, C++
1Feng-Shi Su, Pao-Ann Hsiung Extended quasi-static scheduling for formal synthesis and code generation of embedded software. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF C
1Juha-Pekka Soininen, Jari Kreku, Yang Qu, Martti Forsell Fast processor core selection for WLAN modem using mappability estimation. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mappability estimation, processor architecture evaluation, codesign, cost function
1Kanishka Lahiri, Anand Raghunathan, Sujit Dey Fast system-level power profiling for battery-efficient system design. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Massimo Baleani, Frank Gennari, Yunjian Jiang, Yatish Patel, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli HW/SW partitioning and code generation of embedded control applications on a reconfigurable architecture platform. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CSoC, code generation, hw/sw co-design
1Juanjo Noguera, Rosa M. Badia Dynamic run-time HW/SW scheduling techniques for reconfigurable architectures. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dynamic run-time scheduling, reconfigurable architectures
1Mauricio Varea, Bashir M. Al-Hashimi, Luis Alejandro Cortés, Petru Eles, Zebo Peng Symbolic model checking of Dual Transition Petri Nets. Search on Bibsonomy CODES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1José María Álvarez 0002, Manuel Díaz, Luis Llopis, Ernesto Pimentel 0001, José M. Troya Deriving hard real-time embedded systems implementations directly from SDL specifications. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF scheduler, embedded system, real-time, SDL
1Royan H. L. Ong, Michael J. Pont Empirical comparison of software-based error detection and correction techniques for embedded systems. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF NOP fill, electromagnetic interference, function token, instruction pointer corruption, software-based error detection techniques, embedded systems, EMI
1Praveen K. Murthy, Etan G. Cohen, Steve Rowland System canvas: a new design environment for embedded DSP and telecommunication systems. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Kyoungseok Rha, Kiyoung Choi Area-efficient buffer binding based on a novel two-port FIFO structure. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF buffer binding, buffer sharing, scheduling, SDF
1Sungjoo Yoo, Gabriela Nicolescu, Damien Lyonnard, Amer Baghdadi, Ahmed Amine Jerraya A generic wrapper architecture for multi-processor SoC cosimulation and design. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1William Fornaciari, Fabio Salice, Umberto Bondi, Edi Magini Development cost and size estimation starting from high-level specifications. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF project size estimation, VHDL, concurrent engineering, process management, design reuse
1Sid Ahmed Ali Touati Optimal acyclic fine-grain scheduling with cache effects for embedded and real time systems. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF cache effects, optimal acyclic schedule, register constraints, integer programming, resources constraints
1Paul Pop, Petru Eles, Traian Pop, Zebo Peng Minimizing system modification in an incremental design approach. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Basant Kumar Dwivedi, Jan Hoogerbrugge, Paul Stravers, M. Balakrishnan Exploring design space of parallel realizations: MPEG-2 decoder case study. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF MPEG-2 decoder, YAPI, parallel realization, process, thread, FIFO
1Denis Hommais, Frédéric Pétrot, Ivan Augé A practical tool box for system level communication synthesis. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Marnix Arnold, Henk Corporaal Designing domain-specific processors. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF instruction set synthesis, design space exploration
1Radoslaw Szymanek, Krzysztof Kuchcinski A constructive algorithm for memory-aware task assignment and scheduling. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF task scheduling, constraint programming, task assignment, memory constraints
1André Chátelain, Yves Mathys, Giovanni Placido, Alberto La Rosa, Luciano Lavagno High-level architectural co-simulation using Esterel and C. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Sungtaek Lim, Jihong Kim 0001, Kiyoung Choi Scheduling-based code size reduction in processors with indirect addressing mode. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF indirect addressing mode, code generation, code size reduction, storage assignment
1Frank Slomka, Matthias Dörfel, Ralf Münzenberger Generating mixing hardware/software systems from SDL specifications. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Chun Wong, Paul Marchal, Peng Yang Task concurrency management methodology to schedule the MPEG4 IM1 player on a highly parallel processor platform. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF scheduling, embedded system, concurrency, MPEG-4, cost-efficiency
1Felice Balarin STARS of MPEG decoder: a case study in worst-case analysis of discrete-event systems. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF static analysis, worst-case analysis, system verification
1Dimitris Lioupis, Apostolos Papagiannis, Dionysia Psihogiou A systematic approach to software peripherals for embedded systems. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF software peripherals, reconfigurable architectures, embedded processors
1Cagdas Akturan, Margarida F. Jacome RS-FDRA: a register sensitive software pipelining algorithm for embedded VLIW processors. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded systems, software pipelining, retiming, optimizing compilers, VLIW processors
1Yunjian Jiang, Robert K. Brayton Logic optimization and code generation for embedded control applications. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF code generation, MDD, Esterel, logic optimization, multiple-valued
1William Fornaciari, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria A design framework to efficiently explore energy-delay tradeoffs. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Jan Madsen, Jörg Henkel, Xiaobo Sharon Hu (eds.) Proceedings of the Ninth International Symposium on Hardware/Software Codesign, CODES 2001, Copenhagen, Denmark, 2001 Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Shlomo Weiss, Shay Beren HW/SW partitioning of an embedded instruction memory decompressor. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF compressed instruction memory, embedded systems
1Grant Martin, Luciano Lavagno, Jean Louis-Guerin Embedded UML: a merger of real-time UML and co-design. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF HW-SW co-design, function-architecture co-design, real-time systems, UML, embedded systems, platforms
1Mahmut T. Kandemir, Ismail Kadayif Compiler-directed selection of dynamic memory layouts. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF array reuse, memory layout optimization, software compilation, data dependence, data locality
1Neal K. Bambha, Shuvra S. Bhattacharyya, Jürgen Teich, Eckart Zitzler Hybrid global/local search strategies for dynamic voltage scaling in embedded multiprocessors. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF simulated heating, dynamic voltage scaling
1Peter Petrov, Alex Orailoglu Towards effective embedded processors in codesigns: customizable partitioned caches. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF reprogrammable customizations, embedded processors, data cache
1Neal K. Tibrewala, JoAnn M. Paul, Donald E. Thomas Modeling and evaluation of hardware/software designs. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF computer system modeling and simulation, hardware/software codesign, digital system design
1Axel Jantsch, Ingo Sander, Wenbiao Wu The usage of stochastic processes in embedded system specifications. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Geert Vanmeerbeeck, Patrick Schaumont, Serge Vernalde, Marc Engels, Ivo Bolsens Hardware/software partitioning of embedded system in OCAPI-xl. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Seppo Virtanen, Johan Lilius The TACO protocol processor simulation environment. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF simulation, protocol, microprocessor, codesign
1Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi Parameterised system design based on genetic algorithms. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF exploration of system configurations, parameterised systems, genetic algorithms
1Pao-Ann Hsiung Formal synthesis and code generation of embedded real-time software. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded real-time software, scheduling, Petri Nets, code generation
1Wayne H. Wolf CODES and co-design: a look back and a look forward. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Vishnu Swaminathan, Krishnendu Chakrabarty, S. Sitharama Iyengar Dynamic I/O power management for hard real-time systems. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Johan Cockx Whole program compilation for embedded software: the ADSL experiment. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF interprocedural optimization, whole program compilation, C++, embedded software
1Andrea Acquaviva, Luca Benini, Bruno Riccò Processor frequency setting for energy minimization of streaming multimedia application. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Manoj Kumar Jain, Lars Wehmeyer, Stefan Steinke, Peter Marwedel, M. Balakrishnan Evaluating register file size in ASIP design. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF instruction power model, synthesis, application specific instruction set processor, instruction set, register file, register spill
1Paul Lieverse, Pieter van der Wolf, Ed F. Deprettere A trace transformation technique for communication refinement. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Jinfeng Liu 0006, Pai H. Chou, Nader Bagherzadeh, Fadi J. Kurdahi A constraint-based application model and scheduling techniques for power-aware systems. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF embedded systems software, power-aware real-time scheduling, system-level design, constraint modeling
1Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto Source-level execution time estimation of C programs. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Karam S. Chatha, Ranga Vemuri MAGELLAN: multiway hardware-software partitioning and scheduling for latency minimization of hierarchical control-dataflow task graphs. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Alessandro Fin, Franco Fummi, Maurizio Martignano, Mirko Signoretto SystemC: a homogenous environment to test embedded systems. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF C++ models, embedded systems verification, functional testing
1Wen-Tsong Shiue Retargetable compilation for low power. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF architecture-aware compiler design, high performance and low power design, register allocation, instruction scheduling
1Pun H. Shiu, Yudong Tan, Vincent John Mooney III A novel parallel deadlock detection algorithm and architecture. Search on Bibsonomy CODES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF parallel algorithm, hardware/software codesign, real-time operating system, deadlock detection
1R. Anand, Margarida F. Jacome, Gustavo de Veciana Heuristic tradeoffs between latency and energy consumption in register assignment. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Randall S. Janka, Linda M. Wills A novel codesign methodology for real-time embedded COTS multiprocessor-based signal processing systems. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF MPI/RT, VSIPL, specification and design methodology, middleware, MPI, embedded, COTS, multiprocessing, MAGIC
1João M. Fernandes, Ricardo Jorge Machado 0001, Henrique M. Dinis Santos Modeling industrial embedded systems with UML. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Bart Kienhuis, Edwin Rijpkema, Ed F. Deprettere Compaan: deriving process networks from Matlab for embedded signal processing architectures. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF mapping, Matlab, process networks, embedded architectures
1Paul Pop, Petru Eles, Zebo Peng Performance estimation for embedded systems with data and control dependencies. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Julio Leao da Silva Jr., Marco Sgroi, Fernando De Bernardinis, Suet-Fei Li, Alberto L. Sangiovanni-Vincentelli, Jan M. Rabaey Wireless protocols design: challenges and opportunities. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF communication refinement, function/architecture co-design, wireless protocol design, case study
1Joseph Buck, Radha Vaidyanathan Heterogeneous modeling and simulation of embedded systems in El Greco. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Tony Givargis, Frank Vahid Parameterized system design. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low power, cache, estimation, system-on-a-chip, intellectual property, on-chip bus, system parameters
1Jwahar R. Bammi, Wido Kruijtzer, Luciano Lavagno, Edwin A. Harcourt, Mihai T. Lazarescu Software performance estimation strategies in a system-level design tool. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Xiaobo Sharon Hu, Gang Quan Fast performance prediction for periodic task systems. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Sungjoo Yoo, Kyoungseok Rha, Youngchul Cho, Jinyong Jung, Kiyoung Choi Performance estimation of multiple-cache IP-based systems: case study of an interdependency problem and application of an extended shared memory model. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Stan Y. Liao Towards a new standard for system-level design. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria Instruction-level power estimation for embedded VLIW cores. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Olivier Hébert, Ivan C. Kraljic, Yvon Savaria A method to derive application-specific embedded processing cores. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF custom core, soft core, system-on-a-chip, embedded core, configurable processor
1Deborah Wilson, Daniel Dayton, R. Todd Hansell ASDEN: a comprehensive design framework vision for automotive electronic control systems. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1JoAnn M. Paul, Simon N. Peffers, Donald E. Thomas Frequency interleaving as a codesign scheduling paradigm. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF clock domains, frequency interleaved scheduling, hardware/software codesign, computer system modeling
1Yung-Hsiang Lu, Luca Benini, Giovanni De Micheli Low-power task scheduling for multiple devices. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Frank Vahid, Jan Madsen (eds.) Proceedings of the Eighth International Workshop on Hardware/Software Codesign, CODES 2000, San Diego, California, USA, 2000 Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 253 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license