The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ESSCIRC"( http://dblp.L3S.de/Venues/ESSCIRC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/esscirc

Publication years (Num. hits)
2003 (175) 2004 (115) 2005 (128) 2007 (121) 2008 (122) 2009 (113) 2010 (124) 2011 (133) 2012 (121) 2013 (109) 2014 (113) 2015 (102) 2016 (124) 2017 (96) 2018 (94) 2019 (97) 2021 (125) 2022 (132) 2023 (129)
Publication types (Num. hits)
inproceedings(2254) proceedings(19)
Venues (Conferences, Journals, ...)
ESSCIRC(2273)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 2273 publication records. Showing 2273 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sriram Balamurali, Giovanni Mangraviti, Zhiwei Zhong, Piet Wambacq, Jan Craninckx A 13-16 GHz Low-Noise Oscillator with Enhanced Tank Energy in 22-nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Je Yang, Sukbin Lim, Sukjin Lee, Jae-Young Kim, Joo-Young Kim 0001 JNPU: A 1.04TFLOPS Joint-DNN Training Processor with Speculative Cyclic Quantization and Triple Heterogeneity on Microarchitecture / Precision / Dataflow. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fan Zhang 0069, Wangxin He, Injune Yeo, Maximilian Liehr, Nathaniel C. Cady, Yu Cao 0001, Jae-Sun Seo, Deliang Fan A 65nm RRAM Compute-in-Memory Macro for Genome Sequencing Alignment. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mattias Palm, Daniele Mastantuono, Christer Jansson, Erik Backenius, Nikola Ivanisevic, Mikael Normark, Prakash Harikumar, My-Chien Yee, Andreas Leidenhed, Roland Strandberg, Sunny Sharma, Hanie Ghaedrahmati, Martin Anderson, Peter Nygren, Peter Sjögren, Erik Säll, Robert Hägglund, Lars Sundström A 12/16 GSps Time-Interleaved Pipelined-SAR ADC with Temperature Robust Performance at 0.75V Supply in 7nm FinFET Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Peter Deaville, Bonan Zhang, Naveen Verma A 256-kb Fully Row/Column-parallel 22nm MRAM In-Memory-Computing Macro with Differential Readout for Robust Parallelization and Scale-up. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Maryam Dodangeh, Mark S. Oude Alink, Jan Prummel, Bram Nauta A 50μW 2.45GHz Direct-Conversion RX with On-Chip LO with -84dBm Sensitivity for 1Mb/s GFSK. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lingxin Meng, Junsheng Chen, Menglian Zhao, Zhichao Tan An 18.2μW 101.1dB DR Fully-Dynamic ΔΣ ADC with Partially-Feedback Noise-Shaping Quantizer and CLS-Embedded Two-Stage FIAs. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Erik Olieman, Helmut Kranabenter, Mark Stoopman, Alberto Dei, Christophe Pinatel, Jan van Sinderen A worldwide-compliant 802.15.4/4z IR-UWB RFDAC transmitter in 28nm CMOS with 12dBm peak output power. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dongyang Yan, Sehoon Park, Yang Zhang 0081, Mark Ingels, Piet Wambacq A Compact K-band, Asymmetric Coupler-based, Switchless Transmit-Receive Front-End in 0.15μm GaN-on-SiC Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lucas Moura Santana, Ewout Martens, Jorge Lagos 0001, Piet Wambacq, Jan Craninckx A 70MHz Bandwidth Time-Interleaved Noise-Shaping SAR Assisted Delta Sigma ADC with Digital Cross-Coupling in 28nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zheng Liu, Emir Ali Karahan, Kaushik Sengupta Ultra Broadband Phased-Array Transmitter with Low Phase Error of 1.24-2.8° across 36-91 GHz Supporting 10.8 Gbps 64QAM in 90 nm SiGe. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hanho Choi, Ha-Il Song, Hyosup Won, Jun Young Yoo, Woohyun Kwon, Huxian Jin, Konan Kwon, Cheong Min Lee, Gain Kim, Jake Eu, Sean Park, Hyeon-Min Bae An 86.71875GHz RF transceiver for 57.8125Gb/s waveguide links with a CDR-assisted carrier synchronization loop in 28nm. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Massimo Gottardi, Luca Parmesan, Pietro Tosato, Evgeny Demenev, Enrico Manuzzato, Leonardo Gasparini A 500 × 500 Pixel Image Sensor with Arbitrary Number of RoIs per Frame and Image Filtering for Center of Mass Estimation. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mao-Ling Chiu, I-Fang Lo, Tsung-Hsien Lin A Time-Domain CCM/DCM Current-Mode Buck Converter with a PI Compensator Incorporating an Infinite Phase Shift Delay Line. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hao Deng 0003, Phaneendra Bikkina, Esko Mikkola, Runxi Zhang, Jinghong Chen A 4.8 GS/s 11b Time-Interleaved TDC-Assisted SAR ADC with High-Speed Latch-based VTC. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohammad Javad Karimi, Catherine Dehollain, Alexandre Schmid A 13.56 MHz Active Rectifier with Digitally-Assisted and Delay Compensated Comparators for Biomedical Implantable Devices. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Haotao Lin, Haibiao Zuo, Qiaozhou Peng, Xiaojin Zhao A 690fJ/Bit ML-Attack-Resilient Strong PUF Based on Subthreshold Voltage Attenuator Ring with Closed-Loop Feedback. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Everett O'Malley, James F. Buckwalter An Automated Approach to Power Amplifier Design Demonstrated with a SiGe Process at 140 GHz. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Andres Asprilla, Andreia Cathelin, Yann Deval 0.45-mW 2.35-3.0 GHz Multiplying DLL with Calibration Loop in 28nm CMOS FD-SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Baptiste Jadot, Marcos Zurita, Gérard Billiot, Yvain Thonnart, Loïck Le Guevel, Mathieu Darnas, Candice Thomas, Jean Charbonnier, Tristan Meunier, Maud Vinet, Franck Badets, Gaël Pillonnet A Cryogenic Active Router for Qubit Array Biasing from DC to 320 MHz at 100 nm Gate Pitch. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Huanhuan Zhang, Alexander Lyakhov, Arvind Raghavan A 4nm FinFET 0.8V 13ppm/°C Switched Capacitor Based Current Mode Bandgap Reference. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiawen Chen, Haoshen Zhu, Quan Xue A 24-to-30 GHz Series-Doherty Power Amplifier With Novel Broadband Combiner Achieving 2.5% Back-off PAE Variation in 65-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Massimo Gandola, Enrico Manuzzato, Matteo Perenzoni, Filippo Dal Farra, Valerio Flavio Gili, Dupish Dupish, Andres Vega, Thomas Pertsch, Frank Setzpfandt, Leonardo Gasparini A 100x100 CMOS SPAD Array with In-Pixel Correlation Techniques for Fast Quantum Ghost Imaging Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yijie Li, Lairong Fang, Xiaoyang Zeng, Zhiliang Hong, Jiawei Xu 0001 A 2MHz-BW 96.8dB-SNDR 98dB-SNR CT-Zoom ADC With Residue Feedforward, Redundancy and Fully LMS-Based Calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lea Schreckenberg, René Otten, Patrick Vliex, Ran Xue, Jhih-Sian Tu, Inga Seidler, Stefan Trellenkamp, Lars R. Schreiber, Hendrik Bluhm, Stefan van Waasen SiGe Qubit Biasing with a Cryogenic CMOS DAC at mK Temperature. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wen-Yang Hsu, Joan Aymerich, Xiaolin Yang, Chutham Sawigun, Philippe Coppejans, Carolina Mora Lopez A 0-to-35mA NMOS Capacitor-Less LDO with Dual-Loop Regulation Achieving 3ns Response Time and 1pF-to-10nF Loading Range. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rubén Gómez-Merchán, Juan A. Leñero-Bardallo, Rafael de la Rosa-Vidal, Ángel Rodríguez-Vázquez A 64×64 SPAD-based 3D Image Sensor with Adaptive Pixel Sensitivity and Asynchronous Readout. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Saurav Maji, Kyungmi Lee, Cheng Gongye, Yunsi Fei, Anantha P. Chandrakasan An Energy-Efficient Neural Network Accelerator with Improved Protections Against Fault-Attacks. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Karim Ali Ahmed, Ruiyuan Yang, Praveenakumar Shivappa Salamani, Viveka Konandur Rajanna, Massimo Alioto Single-Antenna Backscattered BLE5 Transmitter with up to 97m Range, 10.6 μW Peak Power for Purely-Harvested Green Systems. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rui Paulo Martins Analog and Mixed-Signal CMOS Circuits: The emergence and leadership of a Lab, a reference Book and the future at the core of the A/D Interface in the IoE. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhi-Jing Lin, Ti-Yu Chen, Tzi-Dar Chiueh A Multi-Overloading-Factor Sparse Code Multiple Access Decoder for 5G Communications. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mengyu Li, Shuang Song 0003, Wanyuan Qu, Le Ye, Menglian Zhao, Zhichao Tan A 1.2V 62.2dB SNDR SAR-Assisted Event-Driven Clockless Level-Crossing ADC for Time-Sparse Signal Acquisition. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tao Xia, Yuan Li, Liujia Song, Wei Chen, Hengwei Yu, Miao Sun, Lei Zhao, Zhihong Lin, Yuntong Tian, Hao Yan, Jiabin Meng, Yifan Wu, Yajie Qin, Xuefeng Chen 0004, Shenglong Zhuo, Patrick Yin Chiang A Sub-ns Pulsed VCSEL Driver with Real-Time Adaptive Current Control, Integrated Boost Switching Regulator and Class-1 Laser Eye Safety. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tian Dong, Tianxiang Qu, Wenhui Qin, Yaohua Pan, Yun Sheng, Zhiliang Hong, Jiawei Xu 0001 A 3.5MHz-BW 128nTrms Resolution TMR Readout Using Ping-Pong Auto-Zeroing and SAR-Assisted Offset Calibration for Contactless Current Sensing. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mayank Palaria, Shiyu Su, Hsiang-Chun Cheng, Rezwan A. Rasul, Qiaochu Zhang, Soumya Mahapatra, Chong-Fatt Law, Sushmit Hossain, Ryan M. Bena, Wei Wu, Quan Nguyen 0004, Mike Shuo-Wei Chen Analog Kalman Filter with Integration and Digitization via a Shared Thyristor-Based VCO for Sensor Fusion in 65 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xuyang Liu, Md Hedayatullah Maktoomi, Mahdi Alesheikh, Payam Heydari, Hamidreza Aghasi A 49-63 GHz Phase-locked FMCW Radar Transceiver for High Resolution Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lei Zhang 0172, Pengcheng Xu, David Borggreve, Frank Vanselow, Ralf Brederlow A FeFET In-Memory-Computing Core with Offset Cancellation for Mitigating Computational Errors. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dong-Woo Jee, Seong-Min Ko, Kishore Kasichainula, Injune Yeo, Yu Cao 0001, Jae-Sun Seo A Time-Memory-based CMOS Vision Sensor with In-Pixel Temporal Derivative Computing for Multi-Mode Image Processing. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lorenzo Piotto, Guglielmo De Filippi, Andrea Bilato, Andrea Mazzanti A 20mW 130-175GHz Phase Shifter with Meandered λ/2 TLINEs in BiCMOS 55nm. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Léon Weihs, Erik Wehr, Kenny Vohl, Tobias Zekorn, Ralf Wunderlich, Stefan Heinen A Fully Integrated Adaptive Dead-Time Controlling Gate Driver Enabling ZVS in HV Converters. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruocheng Wang, Manuj Singh, Deniz Onural, Sidney Buchbinder, Hayk Gevorgyan, Milos A. Popovic, Vladimir Stojanovic A Monolithically Integrated Electronic-Photonic Front-end Utilizing Micro-ring Modulators for Large-Scale mm-wave Sensing. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jonghyun Oh, Chuan-Tung Lin, Mingoo Seok D6CIM: 60.4-TOPS/W, 1.46-TOPS/mm2, 1005-Kb/mm2 Digital 6T-SRAM-Based Compute-in-Memory Macro Supporting 1-to-8b Fixed-Point Arithmetic in 28-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Luke R. Upton, Akash Levy, Michael D. Scott 0002, Dennis Rich, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Subhasish Mitra, Priyanka Raina, Boris Murmann EMBER: A 100 MHz, 0.86 mm2, Multiple-Bits-per-Cell RRAM Macro in 40 nm CMOS with Compact Peripherals and 1.0 pJ/bit Read Circuitry. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fuzhan Chen, Chongyun Zhang, Li Wang, Quan Pan 0002, C. Patrick Yue A 2.05-pJ/b 56-Gb/s PAM-4 VCSEL Transmitter with Piecewise Nonlinearity Compensation and Asymmetric Equalization in 40-nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Fengshi Tian, Xiaomeng Wang, Jinbo Chen, Jiakun Zheng, Hui Wu, Xuejiao Liu, Fengbin Tu, Jie Yang 0033, Mohamad Sawan, Chi-Ying Tsui, Kwang-Ting (Tim) Cheng BIOS: A 40nm Bionic Sensor-defined 0.47pJ/SOP, 268.7TSOPs/W Configurable Spiking Neuron-in-Memory Processor for Wearable Healthcare. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ying-Jie Huang, Yu-Chiao Huang, Yu-Hao Chiu, Wen-Pin Tsai, Yu-Te Liao A 13 × W, 94 mK Resolution, CMOS PD ΔΣ M Temperature-to-Digital Converter With Power-Gating Technique. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Morteza Tavakoli Taba, S. M. Hossein Naghavi, Sara Shoouri, Andreia Cathelin, Ehsan Afshari A 53-62 GHz Two-channel Differential 6-bit Active Phase Shifter in 55-nm SiGe Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Soyeon Um, Jaehyuk Lee, Hoi-Jun Yoo A 3.8 mW 1.9 m Ω/√Hz Electrical Impedance Tomography Imaging with 28.4 M Ω High Input Impedance and Loading Calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zheng Li 0021, Peng Luo, Jian Pang, Qiaoyu Wang, Atsushi Shirane, Kenichi Okada A 24-49-GHz CMOS Area-Efficient Phase-Invariant Mixed-Type Attenuator With Capacitive Compensation for 5G New Radio. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hangxing Liu, Fuze Jiang, Dongwon Lee, Yuguo Sheng, Adam Y. Wang, Marco Saif, Ying Kong, Zhikai Huang, Thomas Burger, Jing Wang, Hua Wang 0006 A 256-Channel In-Pixel Electrochemical Platform in CMOS for Rapid Isothermal Genetic Amplification and Screening. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jaya Deepthi Bandarupalli, Saurabh Saxena A 0.49-9.8 Gb/s 0.1-1V Output Swing Transmitter with 38.4MHz Reference and <30 ns Turn-On Time. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hongyu Tian, Tianxiang Qu, Ting Yi, Zhiliang Hong, Jiawei Xu 0001 A 1.9μVrms 7.7ppm/°C ADC Reference with 20mA Output Current and Single-Trim Inaccuracy of ±0.03%(3σ) from -40°C to 125°C. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Brendan Farley Software Abstraction of Next Generation Radio Access Networks. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Japesh Vohra, Karim Ali Ahmed, Massimo Alioto A 0.4V 12b Comparator Offset Injection Assisted SAR ADC achieving 0.425 fJ/conv-step. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mingyang Gu, Yi Zhong, Lu Jie, Nan Sun 0001 A 12b 1GS/s Pipelined ADC with Digital Background Calibration of Inter-stage Gain, Capacitor Mismatch, and Kick-back Errors. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ines Hurez, Vlad Anghel, Gheorghe Brezeanu A Negative-Gm Oscillator With Common Mode Transient Immunity Enhancements For Galvanically Isolated Gate Drivers. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohamed A. Mokhtar, Omar Ismail, Patrick Vogelmann, John G. Kauffman, Maurits Ortmanns A 40 kS/sCalibration-Free Incremental △Σ ADC Achieving 104 dB DR and 105.7 dB SFDR. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuying Li, Yijie Li, Hao Li, Zhiliang Hong, Jiawei Xu 0001 A 11GΩ-Input-Impedance 700mVpp-Input-Signal- Range 87dB-SNDR Direct-Digitization AFE for Wearable EEG Recording. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhaoqing Wang, Mao Li, Suhwan Kim, Nachiket V. Desai, Ram K. Krishnamurthy, Orlando Lazaro, Andres Blanco, Xin Zhang, Mingoo Seok 93.89% Peak Efficiency 24V-to-1V DC-DC Converter with Fast In-Situ Efficiency Tracking and Power-FET Code Roaming. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Simone Lecchi, Danilo Manstretta, Rinaldo Castello An Interferer-Tolerant RX with Translational Positive Feedback for 5G NR Applications Achieving 3.4 dB NF and 18 dBm OOB IIP3. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sunwoo Lee, Jeongwoo Park, Dongsuk Jeon A 4.27TFLOPS/W FP4/FP8 Hybrid-Precision Neural Network Training Processor Using Shift-Add MAC and Reconfigurable PE Array. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zongyuan Li, Filip Tavernier A 10 GHz Quadruple-Tail Comparator with Double Feedforward Paths and Minimal Delay Slope in 28 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yue Liang, Qin Chen, Xuexue Zhang, Xu Wu, Xiangning Fan, Lianming Li A Compact 240 GHz Differential Fundamental Oscillator with -94.2dBc/Hz Phase Noise and 5.4% DC-to-RF Efficiency in 22nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhaoyu Zhang, Zhao Zhang 0004, Yong Chen, Guoqing Wang, Xinyu Shen, Nan Qi, Guike Li, Shuangming Yu, Jian Liu 0021, Nanjian Wu, Liyuan Liu A 0.0035-mm2 0.42-pJ/bit 8-32-Gb/s Reference-Less CDR Incorporating Adaptively-Biased ChargeSharing Integrator, Alexander PFD, and 1-Tap DFE. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sudarshan Sharma, Wei Chun Wang, Coleman DeLude, Minah Lee, Nael Mizanur Rahman, Narasimha Vasishta Kidambi, Justin Romberg, Saibal Mukhopadhyay AFE-CIM: A Current-Domain Compute-In-Memory Macro for Analog-to-Feature Extraction. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Y. Sprunger, L. Capua, T. Ernst, S. Barraud, A. M. Ionescu, A. Saeidi Ultra-High Sensitivity Silicon Nanowire Array Biosensor Based on a Constant-Current Method for Continuous Real-Time pH and Protein Monitoring in Interstitial Fluid. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chaoyang Xing, Yi Zhong, Nan Sun 0001, Lu Jie A 0.021mm2 92dB-SNDR 88kHz-BW Incremental Zoom ADC with 2nd-order RT-DEM and Quiet Chopping. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xitie Zhang, Evren F. Arkan, Coskun Tekes, Tzuhan Wang, F. Levent Degertekin, Shaolan Li A 1.11 mm2 Guidewire IVUS SoC with ±50°-Range Plane Wave Transmit Beamforming. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Weijie Jiang, Pouya Houshmand, Marian Verhelst, Wim Dehaene A 16nm 128kB high-density fully digital In Memory Compute macro with reverse SRAM pre-charge achieving 0.36TOPs/mm2, 256kB/mm2 and 23. 8TOPs/W. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Soufiane Mourrane, Benoit Larras, Sylvain Clerc, Andreia Cathelin, Antoine Frappé A 291nW Real-Time Event-Driven Spectrogram Extraction unit in 28nm FD-SOI CMOS for Keyword Spotting Application. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sheng Cheng Lee, Yu-Teng Liang, Hsing-Yen Tsai, Jia-Rui Huang, Peng-Chu Chen, Chao-Heng Liu, Yu-Tse Shih, Ke-Horng Chen, Kuo-Lin Zheng, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai A 26nA Ultra-Low Input Current, 81nW Ultra-Low Power and 1μs Recovery Time Ultra-Fast Transient DC-DC Buck Converter for Internet-of-Things. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chao Yuan, Yuying Li, Hao Li, Yijie Li, Zhiliang Hong, Jiawei Xu 0001 A 49nV/√Hz 87.8dB-SNDR 4-Channel Digital Active Electrode System for Gel-Free and Motion-Tolerant Wearable EEG Acquisition. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiwon Shin, Joonghyun Song, Jihee Kim, Woo-Seok Choi A Near-Threshold Ring-Oscillator-Based ILCM with Edge-Selective Error Detector Achieving -64 dBc Reference-Spur and -239 dB FoM. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rob A. Damsteegt, Ramon W. J. Overwater, Masoud Babaie, Fabio Sebastiano A Benchmark of Cryo-CMOS 40-nm Embedded SRAM/DRAMs for Quantum Computing. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ho-Chan Ahn, Joo-Mi Cho, Hyeon-Ji Choi, Chan-Ho Lee, Chan-Kyu Lee, Sung-Wan Hong A 2 A Maximum Load Current Capable 0-to-1 μF Off-chip Capacitor N-type LDO using Dual Dynamic Negative Feedback Loop and an Improved Error Amplifier. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Urs Hecht, Helia Ordouei, Nikolay Ledentsov Jr., Philipp Scholz, Patrick Kurth, Nikolay N. Ledentsov, Friedel Gerfers True Voltage-Mode NRZ VCSEL Transmitter enabling 60 Gbit/s at 0.37 pJ/bit in 22 nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Seung-Beom Ku, Kwonhong Lee, Han-Sol Lee, Kyeongho Eom, Minju Park, Jinhyoung Kim, Cheolung Cha, Hyung-Min Lee An RF MEMS Sensor Driver/Readout SoC with Resonant Frequency Shift and Closed-Loop Envelope Regulation for Microplastic Detection. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Asaf Feldman, Joseph Shor A 0.0106 mm2 8nW Resistor-Less BJT Bandgap Reference in 65nm. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rucheng Jiang, Han Wu 0003, Kian Ann Ng, Chne-Wuen Tsai, Jerald Yoo A 13-bit 70MS/s SAR-Assisted 2-bit/cycle Cyclic ADC with Offset Cancellation and Slack-Borrowing Logic. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohamed Khalil Bouchoucha, Manuel J. Barragán, Andreia Cathelin, Sylvain Bourdel A wideband sub-6GHz continuously tunable gm-boosted CG Low Noise Amplifier in 28 nm FD-SOI CMOS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mingyang Gu, Yunsong Tao, Xiyu He, Yi Zhong, Lu Jie 0008, Nan Sun 0001 A 3.7mW 11b 1GS/s Time-Interleaved SAR ADC with Robust One-Stage Correlation-Based Background Timing-Skew Calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1 49th IEEE European Solid State Circuits Conference, ESSCIRC 2023, Lisbon, Portugal, September 11-14, 2023 Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jyotishman Saikia, Amitesh Sridharan, Injune Yeo, Shreyas K. Venkataramanaiah, Deliang Fan, Jae-Sun Seo FP-IMC: A 28nm All-Digital Configurable Floating-Point In-Memory Computing Macro. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tianwen Tang, Antonio Liscidini A 22nm 56TOPS/W 6/8-bit Linearly-scalable R-2R Multiply-and-Accumulate Architecture with 2.2ns Latency. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sumukh Prashant Bhanushali, Arindam Sanyal A 13.2fJ/step 74.3-dB SNDR Pipelined Noise-shaping SAR+VCO ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Niccolò de Milleri, Andreas Wiesbauer, Andrea Baschirotto A 22dBA digital optical MEMS microphone. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yung-Hua Yeh, Wei-Cheng Liu, Yi-Jie Lin, Yu-Siang Chou, Yu-Chiao Huang, Min-Hua Chang, I-Te Lin, Yen-Chi Chen, Ying-Chih Liao, Yu-Te Liao An Electrical Impedance Spectroscopy IC with a Printable, Fractal Root Textile Sensor for Perspiration Analysis. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Alexandre Siligaris, A. Bossuet, L. Barrau, E. Antide, José Luis González-Jiménez, Cédric Dehos, Mykhailo Zarudniev Fast Chirping 58-64 GHz FMCW Radar Transceiver using D-PROT Multiplier in CMOS 45nm RFSOI for Vital Signs Detection. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dongze Li, Wei Deng 0001, Haikun Jia, Ruiheng Qiu, Xintao Li, Ziyuan Guo, Baoyong Chi A 37-to-41.8 GHz Double-Gm-Boosting LNA with 2.9-dB NFmin Using Quadruple-Coupling Transformer for Phased-Array Transceivers. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jaeho Lee, Kiseo Kang, Donggyu Minn, Jae-Yoon Sim A 7-10b Programmable Cryo-CMOS TI-SAR ADC for Multichannel Qubit Readout with On-Chip Background Inter-Channel Mismatch Calibrations. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Linran Zhao, Raymond Stephany, Yiming Han, Parvez Ahmmed, Alper Bozkurt, Yaoyao Jia A Wireless Multimodal Physiological Monitoring ASIC for Injectable Implants. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tzu-Ying Wu, Zeng Shi-Jun, Tz-Wun Wang, Sheng Cheng Lee, Ya-Ting Hsu, Yu-Tse Shih, Jia-Rui Huang, Ke-Horng Chen, Kuo-Lin Zheng, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai A Hybrid Buck Converter Stacked on Auxiliary-switched-capacitor Using Analog and Digital Dynamic Voltage Scaling Techniques. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dongwon Lee, Jeongsoo Park 0006, Kyung-Sik Choi, Yuqi Liu, Hua Wang 0006 A 137-GHz Ultra-Wide Bandwidth High-Linearity CMOS Distributed Amplifier for High-Speed Communication. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pingcheng Dong, Zhuoyu Chen, Ke Li, Lei Chen 0001, Kwang-Ting Cheng, Fengwei An A 1920×1080 129fps 4.3pJ/pixel Stereo-Matching Processor for Pico Aerial Vehicles. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hongzhuo Liu, Wei Deng 0001, Haikun Jia, Shiwei Zhang, Shiyan Sun, Baoyong Chi A 4.8-GHz Time-Interleaved Multi-Reference PLL with 16.1-fs Jitter. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Miodrag Nikolic, Wilfried Florian, Richard Gaggl, Lei Liao A 125dBSPL 1%-THD, 115μA MEMS Microphone Using Passive Pre-Distortion Technique. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Roberto Pio Baorda, Tommaso Rosa, Paolo Angelini, Giorgio Bosisio, Alberto Cattani Integrated Metal Shunt with Matched Sensing Resistor for High-Side Current Sensing. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shuo Tian, Xiaolong Liu A 18.5-to-22.4GHz Class-F23 VCO Achieving 189.1dBc/Hz FoM Without 2nd/3rd-Harmonic Tuning in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Adrian Gehl, Kyrylo Cherniak, Olga Kharko, Gianluca Marin, Petru Bacinski, Frank Prämaßing, Bernhard Wicht A 2-8V Vin 670mA Scalable Multi-Ratio SC DCDC Converter for MCU Integration in 28nm CMOS Achieving 91% Peak Efficiency. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chenxin Liu, Ibrahim Abdo, Chun Wang, Hiroyuki Sakai 0009, Atsushi Shirane, Kenichi Okada A Dual-Mode Bi-Directional CMOS Mixer Using Push-Push Doubler for 300GHz-Band Transceivers. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 2273 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license