The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISSoC"( http://dblp.L3S.de/Venues/ISSoC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/issoc

Publication years (Num. hits)
2012 (27) 2013 (28) 2014 (25)
Publication types (Num. hits)
inproceedings(77) proceedings(3)
Venues (Conferences, Journals, ...)
ISSoC(80)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 80 publication records. Showing 80 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Timo D. Hämäläinen, Erno Salminen Gamification of System-on-Chip design. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Feriel Ben Abdallah, Chiraz Trabelsi, Rabie Ben Atitallah, Mourad Abed Early power-aware Design Space Exploration for embedded systems: MPEG-2 case study. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniel Gregorek, Alberto García Ortiz A transaction-level framework for design-space exploration of hardware-enhanced operating systems. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pei Liu 0003, Ahmed Hemani, Kolin Paul A many-core hardware acceleration platform for short read mapping problem using distributed memory interface with 3D-stacked architecture. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alex Schönberger, Klaus Hofmann Fast Memory Region: 3D DRAM memory concept evaluated for JPEG2000 algorithm. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Daniel Günther 0003, Andreas Bytyn, Rainer Leupers, Gerd Ascheid Energy-efficiency of floating-point and fixed-point SIMD cores for MIMO processing systems. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mikko Honkonen, Lauri Matilainen, Erno Salminen, Timo D. Hämäläinen WOKE: A novel workflow model editor. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Philipp Wehner, Diana Göhringer Parallel and distributed simulation of networked multi-core systems. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Christoforos Kachris, Georgios Ch. Sirakoulis, Dimitrios Soudris A Reconfigurable MapReduce accelerator for multi-core all-programmable SoCs. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wayne Kelly, Martin Flasskamp, Gregor Sievers, Johannes Ax, Jianing Chen, Christian Klarhorst, Christoph Ragg, Thorsten Jungeblut, Andrew Sorensen A communication model and partitioning algorithm for streaming applications for an embedded MPSoC. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jirí Bucek, Pavel Kubalík, Róbert Lórencz, Tomás Zahradnický System on chip design of a linear system solver. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Janne Virtanen, Lauri Matilainen, Erno Salminen, Timo D. Hämäläinen Implementation of Multicore communications API. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Matteo Cuppini, Eleonora Franchi Scarselli, Claudio Mucci, Roberto Canegallo Soft-core eFPGA for Smart Power applications. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Waqar Hussain 0001, Henry Hoffmann, Tapani Ahonen, Jari Nurmi Constraint-driven frequency scaling in a Coarse Grain Reconfigurable Array. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oliver Arnold, Gerhard P. Fettweis Adaptive runtime management of heterogenous MPSoCs: Analysis, acceleration and silicon prototype. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Michael Meixner, Tobias G. Noll Limits of gate-level power estimation considering real delay effects and glitches. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1George Kornaros, Konstantinos Harteros, Ioannis Christoforakis, Maria Astrinaki I/O virtualization utilizing an efficient hardware system-level Memory Management Unit. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yuuki Shibata, Takanori Tsumura, Tomoaki Tsumura, Yasuhiko Nakashima An implementation of Auto-Memoization mechanism on ARM-based superscalar processor. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Anam Zaman, Osman Hasan Formal verification of circuit-switched Network on chip (NoC) architectures using SPIN. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jari Nurmi, Peeter Ellervee, Dragomir Milojevic, Ondrej Daniel, Tommi Paakki (eds.) 2014 International Symposium on System-on-Chip, SoC 2014, Tampere, Finland, October 28-29, 2014 Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  BibTeX  RDF
1Kimiyoshi Usami, Makoto Miyauchi, Masaru Kudo, Kazumitsu Takagi, Hideharu Amano, Mitaro Namiki, Masaaki Kondo, Hiroshi Nakamura Unbalanced buffer tree synthesis to suppress ground bounce for fine-grain power gating. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Elena Dubrova, Mats Näslund, Gunnar Carlsson, Ben J. M. Smeets Keyed logic BIST for Trojan detection in SoC. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oliver Arnold, Felix Neumaerker, Gerhard P. Fettweis L2_ISA++: Instruction set architecture extensions for 4G and LTE-advanced MPSoCs. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jan Moritz Joseph, Thilo Pionteck A cycle-accurate Network-on-Chip simulator with support for abstract task graph modeling. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Martin Broich, Tobias G. Noll Optimal data path widths for energy- and area-efficient Max-Log-MAP based LTE Turbo decoders. Search on Bibsonomy ISSoC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Syed M. A. H. Jafri, Stanislaw J. Piestrak, Ahmed Hemani, Kolin Paul, Juha Plosila, Hannu Tenhunen Implementation and evaluation of configuration scrubbing on CGRAs: A case study. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Antti Kamppi, Lauri Matilainen, Joni-Matti Määttä, Erno Salminen, Timo D. Hämäläinen Extending IP-XACT to embedded system HW/SW integration. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Marcelo Ruaro, Everton Alceu Carara, Fernando Gehm Moraes Adaptive QoS techniques for NoC-based MPSoCs. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Marco Balboni, Francisco Triviño, José Flich, Davide Bertozzi Optimizing the overhead for network-on-chip routing reconfiguration in parallel multi-core platforms. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jamie Garside, Neil C. Audsley Prefetching across a shared memory tree within a Network-on-Chip architecture. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Essi Suikkanen, Janne Janhunen, Shahriar Shahabuddin, Markku J. Juntti Study of adaptive detection for MIMO-OFDM systems. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alexander W. Rath, Volkan Esen, Wolfgang Ecker Comparison of analog transactions using statistics. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Guilherme Montez Guindani, Fernando Gehm Moraes Achieving QoS in NoC-based MPSoCs through Dynamic Frequency Scaling. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zahra Shirmohammadi, Seyed Ghassem Miremadi Crosstalk avoidance coding for reliable data transmission of network on chips. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Arttu Leppakoski, Erno Salminen, Timo D. Hämäläinen Framework for industrial embedded system product development and management. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Che-Chuan Kuo, Kun-Chih Chen, En-Jui Chang, An-Yeu Wu Proactive Thermal-Budget-Based Beltway Routing algorithm for thermal-aware 3D NoC systems. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alexandre M. Amory, Matheus T. Moreira, Ney Laert Vilar Calazans, Fernando Gehm Moraes, Cristiano Lazzari, Marcelo Soares Lubaszewski Evaluating the scalability of test buses. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Joni-Matti Määttä, Mikko Honkonen, Tommi Korhonen, Erno Salminen, Timo D. Hämäläinen Dependency analysis and visualization tool for Kactus2 IP-XACT design framework. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jiajie Zhang, Zheng Yu 0001, Zhiyi Yu, Kexin Zhang, Zhonghai Lu, Axel Jantsch Efficient distributed memory management in a multi-core H.264 decoder on FPGA. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jari Nurmi, Peeter Ellervee, Leandro Soares Indrusiak, Olli Vainio, Sarang Thombre, Jussi Raasakka (eds.) 2013 International Symposium on System on Chip, ISSoC 2013, Tampere, Finland, October 23-24, 2013 Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  BibTeX  RDF
1Spiridon F. Beldianu, Sotirios G. Ziavras Efficient on-chip vector processing for multicore processors. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Peter Figuli, Carsten Tradowsky, Nadine Gaertner, Jürgen Becker 0001 ViSA: A highly efficient slot architecture enabling multi-objective ASIP cores. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Purnachand Nalluri, Luis Nero Alves, Antonio Navarro 0002 A novel SAD architecture for variable block size motion estimation in HEVC video coding. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Goran Panic, Oliver Schrape, Thomas Basmer, Frank Vater, Klaus Tittelbach-Helmrich TNODE: A low power sensor node processor for secure wireless networks. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Federico Terraneo, Davide Zoni, William Fornaciari A cycle accurate simulation framework for asynchronous NoC design. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Upasna Vishnoi, Tobias G. Noll A family of modular area- and energy-efficient QRD-accelerator architectures. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Artur Mariano, Paulo Garcia, Tiago Gomes SW and HW speculative Nelder-Mead execution for high performance unconstrained optimization. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Florian Stock, Andreas Koch 0001, Dietmar Hildenbrand FPGA-accelerated color edge detection using a Geometric-Algebra-to-Verilog compiler. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zheng Zhou 0002, Karol Desnos, Maxime Pelcat, Jean-François Nezan, William Plishker, Shuvra S. Bhattacharyya Scheduling of parallelized synchronous dataflow actors. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Benedikt Noethen, Oliver Arnold, Gerhard P. Fettweis On the impact of dynamic data management for distributed local memories in heterogeneous MPSoCs. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Maximilian Odendahl, Jerónimo Castrillón, Vitaliy Volevach, Rainer Leupers, Gerd Ascheid Split-cost communication model for improved MPSoC application mapping. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Lorenzo Zuolo, Gabriele Miorandi, Cristian Zambelli, Piero Olivo, Davide Bertozzi System interconnect extensions for fully transparent demand paging in low-cost MMU-less embedded systems. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mariem Turki, Habib Mehrez, Zied Marrakchi, Mohamed Abid Partitioning constraints and signal routing approach for multi-FPGA prototyping platform. Search on Bibsonomy ISSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rachid Al-Khayat, Amer Baghdadi, Michel Jézéquel Architecture efficiency of application-specific processors: A 170Mbit/s 0.644mm2 multi-standard turbo decoder. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Abdul Naeem, Axel Jantsch, Zhonghai Lu Scalability analysis of release and sequential consistency models in NoC based multicore systems. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Roberto Airoldi, Piia Saastamoinen, Jari Nurmi Improving logic-to-memory ratio in an embedded Multi-Processor system via code compression. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anthony Van Herrewege, Ingrid Verbauwhede Tiny application-specific programmable processor for BCH decoding. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Roman Plyaskin, Thomas Wild, Andreas Herkersdorf System-level software performance simulation considering out-of-order processor execution. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Waqar Hussain 0001, Tapani Ahonen, Jari Nurmi Effects of scaling a coarse-grain reconfigurable array on power and energy consumption. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Christoph Roth, Simon Reder, Gokhan Erdogan, Oliver Sander, Gabriel Marchesan Almeida, Harald Bucher, Jürgen Becker 0001 Asynchronous parallel MPSoC simulation on the Single-Chip Cloud Computer. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Davide Zoni, Simone Corbetta, William Fornaciari Thermal/performance trade-off in network-on-chip architectures. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Shiao-Li Tsao, Chih-Chen Kao, Ilter Suat, Yuchen Kuo, Yi-Hsin Chang, Cheng-Kun Yu PowerMemo: A power profiling tool for mobile devices in an emulated wireless environment. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Martin Broich, Tobias G. Noll Efficient VLSI architectures of QPP interleavers for LTE turbo decoders. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Liang Guang, Ethiopia Nigussie, Juha Plosila, Jouni Isoaho, Hannu Tenhunen Coarse and fine-grained monitoring and reconfiguration for energy-efficient NoCs. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Saleh Abdel-Hafeez, Mohammad Shatnawi, Ann Gordon-Ross A double data rate 8T-cell SRAM architecture for systems-on-chip. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anja Niedermeier, Jan Kuper, Gerard J. M. Smit Dataflow-based reconfigurable architecture for streaming applications. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Finn Haedicke, Hoang Minh Le 0001, Daniel Große, Rolf Drechsler CRAVE: An advanced constrained random verification environment for SystemC. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mohammad Reza Kakoee, Vladimir Petrovic, Luca Benini A multi-banked shared-l1 cache architecture for tightly coupled processor clusters. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ling Wang 0004, Zhen Wang, Yingtao Jiang A hybrid chip interconnection architecture with a global wireless network overlaid on top of a wired network-on-chip. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jussara Marandola, Stéphane Louise, Loïc Cudennec, Jean-Thomas Acquaviva, David A. Bader Enhancing Cache Coherent Architectures with access patterns for embedded manycore systems. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kun Lu 0005, Daniel Müller-Gritschneder, Ulf Schlichtmann Hierarchical control flow matching for source-level simulation of embedded software. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Diandian Zhang, Li Lu 0005, Jerónimo Castrillón, Torsten Kempf, Gerd Ascheid, Rainer Leupers, Bart Vanthournout Application-aware spinlock control using a hardware scheduler in MPSoC platforms. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Di Wu, Junwhan Ahn, Imyong Lee, Kiyoung Choi Resource-shared custom instruction generation under performance/area constraints. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Leandro Möller, Leandro Soares Indrusiak, Luciano Ost, Fernando Gehm Moraes, Manfred Glesner Comparative analysis of dynamic task mapping heuristics in heterogeneous NoC-based MPSoCs. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hervé Tatenguem, Alessandro Strano, Vineeth Govind, Jaan Raik, Davide Bertozzi Ultra-low latency NoC testing via pseudo-random test pattern compaction. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Antonio Miele, Christian Pilato, Donatella Sciuto An automated framework for the simulation of mapping solutions on heterogeneous MPSoCs. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jeroen Declerck, Prabhat Avasare, Miguel Glassee, Amir Amin, Erik Umans, Andy Dewilde, Praveen Raghavan, Martin Palkovic A flexible platform architecture for Gbps wireless communication. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ilya Chukhman, William Plishker, Shuvra S. Bhattacharyya Instrumentation-driven model detection for dataflow graphs. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 2012 International Symposium on System on Chip, ISSoC 2012, Tampere, Finland, October 10-12, 2012 Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  BibTeX  RDF
1Zeqin Wu, Philippe Maurine, Nadine Azémard, Gilles R. Ducharme Statistical timing characterization. Search on Bibsonomy ISSoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #80 of 80 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license