The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "MSPC"( http://dblp.L3S.de/Venues/MSPC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/asplos

Publication years (Num. hits)
2008 (9) 2011 (13) 2012 (14)
Publication types (Num. hits)
inproceedings(33) proceedings(3)
Venues (Conferences, Journals, ...)
MSPC(36)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 23 occurrences of 22 keywords

Results
Found 36 publication records. Showing 36 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Jieun Lim 0001, Hyesoon Kim Design space exploration of memory model for heterogeneous computing. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chen Ding 0001, Xiaoya Xiang A higher order theory of locality. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hans-Juergen Boehm Can seqlocks get along with programming language memory models? Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zhe Wang 0023, Samira Manabi Khan, Daniel A. Jiménez Rank idle time prediction driven last-level cache writeback. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pengfei Zhu, Mingyu Chen 0001, Yungang Bao, Licheng Chen, Yongbing Huang Trace-driven simulation of memory system scheduling in multithread application. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Bin Bao, Xiaoya Xiang Defensive loop tiling for multi-core processor. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ronald Veldema, Michael Philippsen Parallel memory defragmentation on a GPU. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hyesoon Kim Supporting virtual memory in GPGPU without supporting precise exceptions. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Erez Petrank Can parallel data structures rely on automatic memory managers? Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eddy Z. Zhang, Han Li, Xipeng Shen A study towards optimal data layout for GPU computing. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Meng-Ju Wu, Donald Yeung Identifying optimal multicore cache hierarchies for loop-based parallel programs via reuse distance analysis. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Erik Österlund, Welf Löwe Analysis of pure methods using garbage collection. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Lixin Zhang 0002, Onur Mutlu (eds.) Proceedings of the 2012 ACM SIGPLAN workshop on Memory Systems Performance and Correctness: held in conjunction with PLDI '12, Beijing, China, June 16, 2012 Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Matthew Davis 0001, Peter Schachte, Zoltan Somogyi, Harald Søndergaard Towards region-based memory management for Go. Search on Bibsonomy MSPC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Keren Bergman, Gilbert Hendry, Paul Hargrove, John Shalf, Bruce L. Jacob, K. Scott Hemmert, Arun Rodrigues, David R. Resnick Let there be light!: the future of memory systems is photonics and 3D stacking. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Clark Verbrugge, Allan Kielstra, Yi Zhang There is nothing wrong with out-of-thin-air: compiler optimization and memory models. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sven Auhagen, Lars Bergstrom, Matthew Fluet, John H. Reppy Garbage collection for multicore NUMA machines. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiaoming Gu Minor memory references matter in collaborative caching. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Xiaoya Xiang, Bin Bao How to fit program footprint curves. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Rupesh Nasre Approximating inclusion-based points-to analysis. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jeffrey S. Vetter, Madanlal Musuvathi, Xipeng Shen (eds.) Proceedings of the 2011 ACM SIGPLAN workshop on Memory Systems Performance and Correctness: held in conjunction with PLDI '11, San Jose, CA, USA, June 5, 2011 Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1George Russell, Colin Riley, Neil Henning, Uwe Dolinsky, Andrew Richards, Alastair F. Donaldson, Alexander S. van Amesfoort The impact of diverse memory architectures on multicore consumer software: an industrial perspective from the video games domain. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Polyvios Pratikakis, Hans Vandierendonck, Spyros Lyberis, Dimitrios S. Nikolopoulos A programming model for deterministic task parallelism. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Hans-Juergen Boehm Performance implications of fence-based memory models. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ivan Jibaja, Stephen M. Blackburn, Mohammad R. Haghighat, Kathryn S. McKinley Deferred gratification: engineering for high performance garbage collection from the get go. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Benjamin P. Wood, Luis Ceze, Dan Grossman Data-race exceptions have benefits beyond the memory model. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Laura Effinger-Dean, Hans-Juergen Boehm, Dhruva R. Chakrabarti, Pramod G. Joisha Extended sequential reasoning for data-race-free programs. Search on Bibsonomy MSPC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mihai Burcea, J. Gregory Steffan, Cristiana Amza The potential for variable-granularity access tracking for optimistic parallelism. Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dependence tracking, variable granularity, transactional memory, thread-level speculation
1Cliff Click IWannaBit! Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF lock-free algorithms, locking, software transactional memory, non-blocking algorithms, hardware transactional memory
1Nathan Chong, Samin Ishtiaq Reasoning about the ARM weakly consistent memory model. Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF weakly consistent memory model, ARM
1Emery D. Berger, Brad Chen (eds.) Proceedings of the 2008 ACM SIGPLAN workshop on Memory Systems Performance and Correctness: held in conjunction with the Thirteenth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS '08), Seattle, Washington, USA, March 2, 2008 Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Edward Aftandilian, Samuel Z. Guyer GC assertions: using the garbage collector to check heap properties. Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF garbage collection, memory leaks, managed languages
1Luis Ceze, Christoph von Praun, Calin Cascaval, Pablo Montesinos, Josep Torrellas Concurrency control with data coloring. Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF data coloring, concurrency control, programming model
1Stéphane Eranian What can performance counters do for memory subsystem analysis? Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF PMU, Linux, performance monitoring, performance counters
1Yannis Smaragdakis, Anthony Kay, Reimer Behrends, Michal Young General and efficient locking without blocking. Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adaptive locks, hybrid locks, transactional memory, nested transactions
1Robert Kunz, Mark Horowitz The case for simple, visible cache coherency. Search on Bibsonomy MSPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SpecOMP2001, shared-memory multiprocessor, FLASH, coherence protocol, cc-NUMA, software optimization, performance bottlenecks
Displaying result #1 - #36 of 36 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license