The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "PACS"( http://dblp.L3S.de/Venues/PACS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/pacs

Publication years (Num. hits)
2000-2002 (25) 2003 (15) 2004-2005 (15)
Publication types (Num. hits)
inproceedings(51) proceedings(4)
Venues (Conferences, Journals, ...)
PACS(55)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 23 occurrences of 22 keywords

Results
Found 55 publication records. Showing 55 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Babak Falsafi, T. N. Vijaykumar (eds.) Power-Aware Computer Systems, 4th International Workshop, PACS 2004, Portland, OR, USA, December 5, 2004, Revised Selected Papers Search on Bibsonomy PACS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
1Yao Guo 0001, Saurabh Chheda, Israel Koren, C. Mani Krishna 0001, Csaba Andras Moritz Energy-Aware Data Prefetching for General-Purpose Programs. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Chung-Hsing Hsu, Wu-chun Feng Effective Dynamic Voltage Scaling Through CPU-Boundedness Detection. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Ke Ning, David R. Kaeli Bus Power Estimation and Power-Efficient Bus Arbitration for System-on-a-Chip Embedded Systems. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Embedded System, Power-Aware, External Memory, Media Processor, Bus Arbitration
1Aqeel Mahesri, Vibhore Vardhan Power Consumption Breakdown on a Modern Laptop. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Masaaki Kondo, Hiroshi Nakamura Dynamic Processor Throttling for Power Efficient Computations. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Babak Falsafi, T. N. Vijaykumar (eds.) Power-Aware Computer Systems, Third International Workshop, PACS 2003, SanDiego, CA, USA, December 1, 2003, Revised Papers Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Hai Huang 0002, Kang G. Shin, Charles Lefurgy, Karthick Rajamani, Tom W. Keller, Eric Van Hensbergen, Freeman L. Rawson III Software-Hardware Cooperative Power Management for Main Memory. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1 Erratum. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Mark E. Femal, Vincent W. Freeh Safe Overprovisioning: Using Power Limits to Increase Aggregate Throughput. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Overprovisioning, managing power limits
1Joseph J. Sharkey, Dmitry Ponomarev 0001, Kanad Ghose, Oguz Ergin Reducing Delay and Power Consumption of the Wakeup Logic Through Instruction Packing and Tag Memoization. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Sumeet Kumar, Prateek Pujara, Aneesh Aggarwal Bit-Sliced Datapath for Energy-Efficient High Performance Microprocessors. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Eren Kursun, Glenn Reinman, Suleyman Sair, Anahita Shayesteh, Timothy Sherwood Low-Overhead Core Swapping for Thermal Management. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Kartik Mohanram, Scott Rixner Context-Independent Codes for Off-Chip Interconnects. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1Miquel Pericàs, Rubén González 0001, Adrián Cristal, Alexander V. Veidenbaum, Mateo Valero An Optimized Front-End Physical Register File with Banking and Writeback Filtering. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1John Y. Oliver, Ravishankar Rao, Paul Sultana, Jedidiah R. Crandall, Erik Czernikowski, Leslie W. Jones IV, Dean Copsey, Diana Keen, Venkatesh Akella, Frederic T. Chong Synchroscalar: Initial Lessons in Power-Aware Design of a Tile-Based Embedded Architecture. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Jerry Hom, Ulrich Kremer Inter- rogram Compilation for Disk Energy Reduction. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Soraya Ghiasi, Wesley M. Felter CPU Packing for Multiprocessor Power Reduction. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Rajeev Balasubramonian, Viji Srinivasan, Sandhya Dwarkadas, Alper Buyuktosunoglu Hot-and-Cold: Using Criticality in the Design of Energy-Efficient Caches. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Weiping Liao, Lei He 0001 Coupled Power and Thermal Simulation with Active Cooling. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Ye Wen, Richard Wolski, Chandra Krintz Online Prediction of Battery Lifetime for Embedded and Mobile Devices. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Manish Verma, Lars Wehmeyer, Peter Marwedel Efficient Scratchpad Allocation Algorithms for Energy Constrained Embedded Systems. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Xiaobo Fan, Carla Schlatter Ellis, Alvin R. Lebeck The Synergy Between Power-Aware Memory Systems and Processor Voltage Scaling. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Robert N. Mayo, Parthasarathy Ranganathan Energy Consumption in Mobile Devices: Why Future Systems Need Requirements-Aware Energy Scale-Down. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Daniel Citron, Dror G. Feitelson "Look It Up" or "Do the Math": An Energy, Area, and Timing Analysis of Instruction Reuse and Memoization. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Yao Guo 0001, Saurabh Chheda, Csaba Andras Moritz Runtime Biased Pointer Reuse Analysis and Its Application to Energy Efficiency. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Babak Falsafi, T. N. Vijaykumar (eds.) Power-Aware Computer Systems, Second International Workshop, PACS 2002 Cambridge, MA, USA, February 2, 2002, Revised Papers Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Roni Rosner, Yoav Almog, Micha Moffie, Naftali Schwartz, Avi Mendelson PARROT: Power Awareness Through Selective Dynamically Optimized Traces. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1John S. Seng, Dean M. Tullsen Exploring the Potential of Architecture-Level Power Optimizations. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Wajahat Qadeer, Tajana Simunic Rosing, John Ankcorn, Venky Krishnan, Giovanni De Micheli Heterogeneous Wireless Network Management. Search on Bibsonomy PACS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
1Koji Inoue, Vasily G. Moshnyaga, Kazuaki J. Murakami Dynamic Tag-Check Omission: A Low Power Instruction Cache Architecture Exploiting Execution Footprints. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Xiaobo Fan, Carla Schlatter Ellis, Alvin R. Lebeck Modeling of DRAM Power Control Policies Using Deterministic and Stochastic Petri Nets. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Modeling, Petri Nets, DRAM, Memory Controller, Control Policy
1Phillip Stanley-Marbell, Michael S. Hsiao, Ulrich Kremer A Hardware Architecture for Dynamic Performance and Energy Adaptation. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1E. N. Elnozahy, Michael Kistler, Ramakrishnan Rajamony Energy-Efficient Server Clusters. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Clusters, Power Management, Web Servers, Voltage Scaling
1Fay Chang, Keith I. Farkas, Parthasarathy Ranganathan Energy-Driven Statistical Sampling: Detecting Software Hotspots. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Taliver Heath, Eduardo Pinheiro, Ricardo Bianchini Application-Supported Device Management for Energy and Performance. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Jinfeng Liu 0006, Pai H. Chou, Nader Bagherzadeh Power-Aware Task Motion for Enhancing Dynamic Range of Embedded Systems with Renewable Energy Sources. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF power-aware scheduling/task motion, timing/power constraint modeling, power/performance range, system-level design
1Chung-Hsing Hsu, Ulrich Kremer Single Region vs. Multiple Regions: A Comparison of Different Compiler-Directed Dynamic Voltage Scheduling Approaches. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Pradip Bose, David M. Brooks, Alper Buyuktosunoglu, Peter W. Cook, K. Das, Philip G. Emma, Michael Gschwind, Hans M. Jacobson, Tejas Karkhanis, Prabhakar Kudva, Stanley Schuster, James E. Smith 0001, Viji Srinivasan, Victor V. Zyuban, David H. Albonesi, Sandhya Dwarkadas Early-Stage Definition of LPX: A Low Power Issue-Execute Processor. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1C. Michael Olsen, L. Alex Morrow Multi-processor Computer System Having Low Power Consumption. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Pedro Mejía-Alvarez, Eugene Levner, Daniel Mossé An Integrated Heuristic Approach to Power-Aware Real-Time Scheduling. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Dongkun Shin, Woonseok Kim, Jaekwon Jeon, Jihong Kim 0001, Sang Lyul Min SimDVS: An Integrated Simulation Environment for Performance Evaluation of Dynamic Voltage Scaling Algorithms. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Jeongseon Euh, Jeevan Chittamuru, Wayne P. Burleson A Low-Power Content-Adaptive Texture Mapping Architecture for Real-Time 3D Graphics. Search on Bibsonomy PACS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Babak Falsafi, T. N. Vijaykumar (eds.) Power-Aware Computer Systems, First International Workshop, PACS 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers Search on Bibsonomy PACS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
1Zhenyu Tang, Norman Chang, Shen Lin, Weize Xie, O. Sam Nakagawa, Lei He 0001 Ramp Up/Down Functional Unit to Reduce Step Power. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Flavius Gruian System-Level Design Methods for Low-Energy Architectures Containing Variable Voltage Processors. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF system-level design, low energy, variable voltage processors
1Jeongseon Euh, Wayne P. Burleson Exploiting Content Variation and Perception in Power-Aware 3D Graphics Rendering. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Soraya Ghiasi, Dirk Grunwald A Comparison of Two Architectural Power Models. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Power Analysis Tools, Architectural-definition stage, Validation, Performance Comparison
1Ashutosh S. Dhodapkar, Chee How Lim, George Cai, W. Robert Daasch TEM2P2EST: A Thermal Enabled Multi-model Power/Performance ESTimator. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Paul Marchal, Chun Wong, Aggeliki S. Prayati, Nathalie Cossement, Francky Catthoor, Rudy Lauwereins, Diederik Verkest, Hugo De Man Dynamic Memory Oriented Transformations in the MPEG4 IM1-Player on a Low Power Platform. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Stefanos Kaxiras, Zhigang Hu, Girija J. Narlikar, Rae McLellan Cache-Line Decay: A Mechanism to Reduce Cache Leakage Power. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Alper Buyuktosunoglu, Stanley Schuster, David M. Brooks, Pradip Bose, Peter W. Cook, David H. Albonesi An Adaptive Issue Queue for Reduced Power at High Performance. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1David M. Brooks, Margaret Martonosi, John-David Wellman, Pradip Bose Power-Performance Modeling and Tradeoff Analysis for a High End Microprocessor. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
1Roberto Maro, Yu Bai 0001, R. Iris Bahar Dynamically Reconfiguring Processor Resources to Reduce Power Consumption in High-Performance Processors. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power, high-performance, architecture-level
1Chung-Hsing Hsu, Ulrich Kremer, Michael S. Hsiao Compiler-Directed Dynamic Frequency and Voltage Scheduling. Search on Bibsonomy PACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #55 of 55 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license