The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "SLIP"( http://dblp.L3S.de/Venues/SLIP )

URL (DBLP): http://dblp.uni-trier.de/db/conf/slip

Publication years (Num. hits)
2000 (16) 2001 (19) 2002 (16) 2003 (19) 2004 (17) 2005 (15) 2006 (17) 2007 (16) 2008-2009 (32) 2010 (17) 2011-2012 (28) 2013-2014 (21) 2015-2016 (18) 2017-2019 (18) 2020-2021 (28) 2022-2023 (15)
Publication types (Num. hits)
inproceedings(289) proceedings(23)
Venues (Conferences, Journals, ...)
SLIP(312)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 350 occurrences of 190 keywords

Results
Found 312 publication records. Showing 312 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Chung-Kuan Cheng, Bill Lin 0001, Byeonggon Kang, Yucheng Wang Invited Paper: The Scope and Challenges of Scaling in Advanced Technologies. Search on Bibsonomy SLIP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Arghavan Mohammadhassani, Anup Das 0001 Improving Performance of Network-on-Memory Architectures via (De-)/Compression-in-DRAM. Search on Bibsonomy SLIP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng, Andrew B. Kahng, Bill Lin 0001, Yucheng Wang, Dooseok Yoon Gear-Ratio-Aware Standard Cell Layout Framework for DTCO Exploration. Search on Bibsonomy SLIP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Raveena Raikar, Dirk Stroobandt Modularity Driven Parallel Placement Algorithm for 2.5D FPGA Architectures. Search on Bibsonomy SLIP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Marieke Louage, Muhammad Mazher Iqbal, Dirk Stroobandt On the Interconnection Complexity vs Size Trade-off in Circuit Graphs. Search on Bibsonomy SLIP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Seonghyeon Park, Daeyeon Kim, Seokhyeong Kang Invited: Acceleration on Physical Design: Machine Learning-based Routability Optimization. Search on Bibsonomy SLIP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1 Proceedings of the ACM International Workshop on System-Level Interconnect Pathfinding, SLIP 2023, San Francisco, CA, USA, 2 November 2023 Search on Bibsonomy SLIP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1A. Philippe, Lorenzo Ciampolini, M. Gerbaud, M. Ramirez-Corrales, Valentin Egloff, Bastien Giraud, Jean-Philippe Noël An Automated Design Methodology for Computational SRAM Dedicated to Highly Data-Centric Applications: Invited Paper. Search on Bibsonomy SLIP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Raveena Raikar, Dirk Stroobandt Multi-Die Heterogeneous FPGAs: How Balanced Should Netlist Partitioning be? Search on Bibsonomy SLIP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rongmei Chen, Giuliano Sisto, Odysseas Zografos, Dragomir Milojevic, Pieter Weckx, Geert Van der Plas, Eric Beyne Opportunities of Chip Power Integrity and Performance Improvement through Wafer Backside (BS) Connection: Invited Paper. Search on Bibsonomy SLIP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tianyi Yu, Nima Karimpour Darav, Ismail Bustany, Mehrdad Eslami Dehkordi A Machine Learning Approach for Accelerating SimPL-Based Global Placement for FPGA's. Search on Bibsonomy SLIP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hailiang Hu, Jiang Hu, Fan Zhang, Bing Tian, Ismail Bustany Machine-Learning Based Delay Prediction for FPGA Technology Mapping. Search on Bibsonomy SLIP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jaehoon Ahn, Taewhan Kim Neural Network Model for Detour Net Prediction. Search on Bibsonomy SLIP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mustafa Badaroglu, Shantanu Dutt (eds.) Proceedings of the 24th ACM/IEEE Workshop on System Level Interconnect Pathfinding, SLIP 2022, San Diego, California, 3 November 2022 Search on Bibsonomy SLIP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xiuyan Zhang, Shantanu Dutt Limiting Interconnect Heating in Power-Driven Physical Synthesis. Search on Bibsonomy SLIP The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Babak Sharifpour, Mohammad Sharifpour, Midia Reshadi SID-Mesh: Diagonal Mesh Topology for Silicon Interposer in 2.5D NoC with Introducing a New Routing Algorithm. Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Suresh Ramalingam Enabling Chiplet Integration Beyond 7nm (Invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jitesh Choudhary, Soumya J., Linga Reddy Cenkeramaddi RAMAN: Reinforcement Learning Inspired Algorithm for Mapping Applications onto Mesh Network-on-Chip. Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Minmin Jiang, Vasilis F. Pavlidis Performance-Aware Interconnect Delay Insertion Against EM Side-Channel Attacks. Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Houman Zahedmanesh, Ivan Ciofi, Odysseas Zografos, Mustafa Badaroglu, Kristof Croes A Novel System-Level Physics-Based Electromigration Modelling Framework: Application to the Power Delivery Network. Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Giuliano Sisto, Rongmei Chen, Richard Chou, Geert Van der Plas, Eric Beyne, Rod Metcalfe, Dragomir Milojevic Design And Sign-off Methodologies For Wafer-To-Wafer Bonded 3D-ICs At Advanced Nodes (invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Yvain Thonnart Designing a Multi-Chiplet Manycore System using the POPSTAR Optical NoC Architecture (Invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tanay Karnik Recent Advances and Future Challenges in 2.5D/3D Heterogeneous Integration (Invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Tiago Mück Network-on-Chips for Future 3D Stacked Dies (Invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Bapi Vinnakota The Open Domain-Specific Architecture: An Introduction (Invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1 ACM/IEEE International Workshop on System Level Interconnect Prediction, SLIP 2021, Munich, Germany, November 4, 2021 Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Makoto Nagata Chip Stacking and Packaging Technology Explorations for Hardware Security (Invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Chung-Kuan Cheng, Chia-Tung Ho, Chester Holtz, Bill Lin 0001 Design and System Technology Co-Optimization Sensitivity Prediction for VLSI Technology Development using Machine Learning. Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Giovanna Calò, Marina Barbiroli, Gaetano Bellanca, Davide Bertozzi, Franco Fuschini, Velio Tralli, Giovanni Serafino, Vincenzo Petruzzelli Reconfigurable on-chip wireless interconnections through optical phased arrays (Invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Joris Van Campenhout Silicon Photonics Technology for Terabit-scale Optical I/O (Invited). Search on Bibsonomy SLIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
1Jonathan D'Hoore, Poona Bahrebar, Dirk Stroobandt 3D NoC emulation model on a single FPGA. Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Raid Ayoub, Michael Kishinevsky, Sumit K. Mandal, Ümit Y. Ogras Analytical modeling of NoCs for fast simulation and design exploration (invited). Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tahereh Jabbari, Eby G. Friedman Global interconnects in VLSI complexity single flux quantum systems. Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Abhishek Kumar Jain Role of on-chip networks in building domain-specific architectures (DSAs) for sparse computations (invited). Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng (eds.) SLIP '20: System-Level Interconnect - Problems and Pathfinding Workshop, San Diego, California, November 5, 2020 Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hamed Fatemi, Andrew B. Kahng, Minsoo Kim, José Pineda de Gyvez Optimal bounded-skew steiner trees to minimize maximum k-active dynamic power. Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Patrick Groeneveld Wafer scale interconnect and pathfinding for machine learning hardware (invited). Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Saptadeep Pal, Puneet Gupta 0001 Pathfinding for 2.5D interconnect technologies. Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mustafa Badaroglu Outlook of device and assembly technologies enabling high-performance mobile computing: IRDS view (invited). Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kevin Kauth, Tim Stadtmann, Ruben Brandhofer, Vida Sobhani, Tobias Gemmeke Communication architecture enabling 100x accelerated simulation of biological neural networks. Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tuck-Boon Chan, Andrew B. Kahng, Mingyu Woo Revisiting inherent noise floors for interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jason Orcutt Extending quantum systems with optical interconnects (invited). Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Barry C. Sanders Building a quantum computer (invited). Search on Bibsonomy SLIP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1 21st ACM/IEEE International Workshop on System Level Interconnect Prediction, SLIP 2019, Las Vegas, NV, USA, June 1-2, 2019 Search on Bibsonomy SLIP The full citation details ... 2019 DBLP  BibTeX  RDF
1Zheng Xu, Jacob Abraham FSNoC: Safe Network-on-Chip Design with Packet Level Lock Stepping. Search on Bibsonomy SLIP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Chak-Wa Pui, Gang Wu, Freddy Y. C. Mang, Evangeline F. Y. Young An Analytical Approach for Time-Division Multiplexing Optimization in Multi-FPGA based Systems. Search on Bibsonomy SLIP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Boris Vaisband, Subramanian S. Iyer Communication Considerations for Silicon Interconnect Fabric. Search on Bibsonomy SLIP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Farid Kenarangi, Inna Partin-Vaisband Security Network On-Chip for Mitigating Side-Channel Attacks. Search on Bibsonomy SLIP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Dylan C. Stow, Itir Akgun, Yuan Xie 0001 Investigation of Cost-Optimal Network-on-Chip for Passive and Active Interposer Systems. Search on Bibsonomy SLIP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1M. Ali Vosoughi, Longfei Wang, Selçuk Köse Bus-Invert Coding as a Low-Power Countermeasure Against Correlation Power Analysis Attack. Search on Bibsonomy SLIP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Yiming Wen, Sayyed Farid Ahamed, Weize Yu A Novel PUF Architecture Against Non-Invasive Attacks. Search on Bibsonomy SLIP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Longfei Wang, Ragh Kuttappa, Baris Taskin, Selçuk Köse Distributed Digital Low-Dropout Regulators with Phase Interleaving for On-Chip Voltage Noise Mitigation. Search on Bibsonomy SLIP The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Leo Filippini, Baris Taskin A charge recovery logic system bus. Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Isuru Daulagala, Ioannis Savidis Clock tree synthesis for heterogeneous 3-D integrated circuits. Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1 ACM/IEEE 2017 International Workshop on System Level Interconnect Prediction, SLIP 2017, Austin, TX, USA, June 17, 2017 Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  BibTeX  RDF
1Scott Lerner, Eric Leggett, Baris Taskin Slew-down: analysis of slew relaxation for low-impact clock buffers. Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ulf Schlichtmann Frontiers of timing. Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wing-Kai Chow, Jian Kuang 0001, Peishan Tu, Evangeline F. Y. Young Fence-aware detailed-routability driven placement. Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sai Vineel Reddy Chittamuru, Ishan G. Thakkar, Sudeep Pasricha Analyzing voltage bias and temperature induced aging effects in photonic interconnects for manycore computing. Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Peishan Tu, Wing-Kai Chow, Evangeline F. Y. Young Timing driven routing tree construction. Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jinglei Huang, Xiaodong Xu, Lan Yao, Song Chen 0001 Reconfigurable topology synthesis for application-specific noc on partially dynamically reconfigurable FPGAs. Search on Bibsonomy SLIP The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chih-Cheng Hsu, Mark Po-Hung Lin, Masanori Hashimoto Latch Clustering for Minimizing Detection-to-Boosting Latency Toward Low-Power Resilient Circuits. Search on Bibsonomy SLIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Roman P. Bazylevych, Marek Palasinski, Lubov Bazylevych Topologically-Geometric Routing. Search on Bibsonomy SLIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammad A. Ahmed, Sucheta Mohapatra, Malgorzata Chrzanowska-Jeske Buffered Interconnects in 3D IC Layout Design. Search on Bibsonomy SLIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Carrie Segal, Aditya Dalakoti, Merritt Miller, Forrest Brewer Connectivity Effects on Energy and Area for Neuromorphic System with High Speed Asynchronous Pulse Mode Links. Search on Bibsonomy SLIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wei-Ting Jonas Chan, Andrew B. Kahng, Jiajia Li 0002 Revisiting 3DIC Benefit with Multiple Tiers. Search on Bibsonomy SLIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Naseef Mansoor, Md Shahriar Shamim, Amlan Ganguly A Demand-Aware Predictive Dynamic Bandwidth Allocation Mechanism for Wireless Network-on-Chip. Search on Bibsonomy SLIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ishan G. Thakkar, Sai Vineel Reddy Chittamuru, Sudeep Pasricha A Comparative Analysis of Front-End and Back-End Compatible Silicon Photonic On-Chip Interconnects. Search on Bibsonomy SLIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Enes Eken, Ismail Bayram, Yaojun Zhang, Bonan Yan, Wenqing Wu, Hai (Helen) Li, Yiran Chen 0001 Spin-Hall Assisted STT-RAM Design and Discussion. Search on Bibsonomy SLIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Baris Taskin, Tsung-Yi Ho (eds.) Proceedings of the 18th System Level Interconnect Prediction Workshop, SLIP 2016, Austin, TX, USA, June 4, 2016 Search on Bibsonomy SLIP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tsung-Wei Huang, Martin D. F. Wong On fast timing closure: speeding up incremental path-based timing analysis with mapreduce. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Sai Manoj P. D., Kanwen Wang, Hantao Huang, Hao Yu 0001 Smart I/Os: a data-pattern aware 2.5D interconnect with space-time multiplexing. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Marco Escalante, Andrew B. Kahng, Michael Kishinevsky, Ümit Y. Ogras, Kambiz Samadi Multi-product floorplan and uncore design framework for chip multiprocessors. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction, SLIP 2015, San Francisco, CA, USA, June 6, 2015 Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  BibTeX  RDF
1Samyoung Bang, Kwangsoo Han, Andrew B. Kahng, Vaishnav Srinivas Clock clustering and IO optimization for 3D integration. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Mulong Luo, Siddhartha Nath SI for free: machine learning of interconnect coupling delay and transition effects. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Xiang Zhang, Yang Liu, Ryan Coutts, Chung-Kuan Cheng Power line communication for hybrid power/signal pin SOC design. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Haifeng Xu, Melissa M. Bilec, William O. Collinge, Laura A. Schaefer, Amy E. Landis, Alex K. Jones Lynx: a self-organizing wireless sensor network with commodity palmtop computers. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Rui Wu 0008, Chin-Hui Chen, Jean-Marc Fedeli, Maryse Fournier, Raymond G. Beausoleil, Kwang-Ting Cheng Compact modeling and system implications of microring modulators in nanophotonic interconnects. Search on Bibsonomy SLIP The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
1Wei-Ting Jonas Chan, Andrew B. Kahng, Siddhartha Nath Methodology for electromigration signoff in the presence of adaptive voltage scaling. Search on Bibsonomy SLIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Qiaosha Zou, Yuan Xie 0001 Compact models and model standard for 2.5D and 3D integration. Search on Bibsonomy SLIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 ACM/IEEE International Workshop on System Level Interconnect Prediction, SLIP 2014, San Francisco, CA, USA, June 1, 2014 Search on Bibsonomy SLIP The full citation details ... 2014 DBLP  BibTeX  RDF
1Nancy Y. Zhou, Phillip J. Restle, Joseph N. Palumbo, Joseph N. Kozhaya, Haifeng Qian, Zhuo Li 0001, Charles J. Alpert, Cliff C. N. Sze Pacman: driving nonuniform clock grid loads for low-skew robust clock network. Search on Bibsonomy SLIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xiang Zhang, Jingwei Lu, Yang Liu, Chung-Kuan Cheng Worst-case noise area prediciton of on-chip power distribution network. Search on Bibsonomy SLIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Julian Kemmerer, Baris Taskin Range-based dynamic routing of hierarchical on chip network traffic. Search on Bibsonomy SLIP The full citation details ... 2014 DBLP  BibTeX  RDF
1Tsung-Wei Huang, Pei-Ci Wu, Martin D. F. Wong UI-route: An ultra-fast incremental maze routing algorithm. Search on Bibsonomy SLIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Inna Vaisband, Eby G. Friedman Power network-on-chip for scalable power delivery. Search on Bibsonomy SLIP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rasit Onur Topaloglu Chip-scale physical interconnect models (Tutorial). Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Bill Lin 0001, Siddhartha Nath High-dimensional metamodeling for prediction of clock tree synthesis outcomes. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Randy Morris, Avinash Karanth Kodi, Ahmed Louri Evaluating the scalability and performance of 3D stacked reconfigurable nanophotonic interconnects. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Christopher Condrat, Priyank Kalla, Steve Blair Channel routing for integrated optics. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Doug Gill IBM CMOS compatible photonics and traveling wave electro-optic modulator design. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li 0002 Toward quantifying the IC design value of interconnect technology improvements. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shaloo Rakheja, Vachan Kumar, Azad Naeemi Performance modeling for interconnects for conventional and emerging switches. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Deming Chen Optimizations in GPU: Smart compilers and core-level reconfiguration. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Baris Taskin Wireless on Networks-on-Chip. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mark Browning, Cheng Li, Paul V. Gratz, Samuel Palermo LumiNOC: A low-latency, high-bandwidth per Watt, photonic Network-on-Chip. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Andrew B. Kahng, Seokhyeong Kang, Hyein Lee 0001, Siddhartha Nath, Jyoti Wadhwani Learning-based approximation of interconnect delay and slew in signoff timing tools. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 ACM/IEEE International Workshop on System Level Interconnect Prediction, SLIP 2013, Austin, TX, USA, June 2, 2013 Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  BibTeX  RDF
1Xiang Zhang, Yang Liu, Chung-Kuan Cheng Worst-case noise prediction using power network impedance profile. Search on Bibsonomy SLIP The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 312 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license