The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microarchitecture with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1986 (15) 1987 (25) 1988 (29) 1989 (37) 1990 (36) 1991 (28) 1992 (47) 1993 (31) 1994 (35) 1995 (51) 1996 (38) 1997 (41) 1998 (43) 1999 (53) 2000 (58) 2001 (56) 2002 (75) 2003 (84) 2004 (92) 2005 (100) 2006 (115) 2007 (113) 2008 (101) 2009 (121) 2010 (72) 2011 (62) 2012 (72) 2013 (66) 2014 (64) 2015 (76) 2016 (77) 2017 (78) 2018 (88) 2019 (94) 2020 (99) 2021 (114) 2022 (105) 2023 (143) 2024 (5)
Publication types (Num. hits)
article(268) book(1) incollection(3) inproceedings(2314) phdthesis(13) proceedings(40)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1279 occurrences of 640 keywords

Results
Found 2639 publication records. Showing 2639 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Florent Autrusseau, Yves Amouriq, Jean-Pierre Guédon Linking bone microarchitecture to projections texture analysis. Search on Bibsonomy Medical Imaging: Biomedical Applications in Molecular, Structural, and Functional Imaging The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Remberto Sandoval-Arechiga, Ramón Parra-Michel, J. L. Vázquez-Avila, B. I. Gea-Garcia NI + Router Microarchitecture for NoC-based Communication Systems. Search on Bibsonomy ANCS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Shibo Wang, Engin Ipek Reducing data movement energy via online data clustering and encoding. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Elvira Teran, Zhe Wang 0023, Daniel A. Jiménez Perceptron learning for reuse prediction. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Muhammad Husni Santriaji, Henry Hoffmann GRAPE: Minimizing energy for GPU applications with performance requirements. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Li-Wen Chang, Izzat El Hajj, Christopher I. Rodrigues, Juan Gómez-Luna, Wen-mei W. Hwu Efficient kernel synthesis for performance portable programming. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Cheng-Chieh Huang, Rakesh Kumar 0003, Marco Elver, Boris Grot, Vijay Nagarajan C3D: Mitigating the NUMA bottleneck via coherent DRAM caches. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yang Hu 0001, Tao Li 0006 Towards efficient server architecture for virtualized network function deployment: Implications and implementations. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Alberto Ros 0001, Stefanos Kaxiras Racer: TSO consistency via race detection. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Hardik Sharma, Jongse Park, Divya Mahajan 0001, Emmanuel Amaro, Joon Kyung Kim, Chenkai Shao, Asit Mishra, Hadi Esmaeilzadeh From high-level deep neural models to FPGAs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Arthur Perais, Fernando A. Endo, André Seznec Register sharing for equality prediction. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Amirali Sharifian, Snehasish Kumar, Apala Guha, Arrvindh Shriraman Chainsaw: Von-neumann accelerators to leverage fused instruction chains. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Tao Chen 0045, G. Edward Suh Efficient data supply for hardware accelerators with prefetching and access/execute decoupling. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Heonjae Ha, Ardavan Pedram, Stephen Richardson, Shahar Kvatinsky, Mark Horowitz Improving energy efficiency of DRAM by exploiting half page row access. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Manoj Alwani, Han Chen, Michael Ferdman, Peter A. Milder Fused-layer CNN accelerators. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Mengjia Yan 0001, Yasser Shalabi, Josep Torrellas ReplayConfusion: Detecting cache-based covert channel attacks using record and replay. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Mikhail Kazdagli, Vijay Janapa Reddi, Mohit Tiwari Quantifying and improving the efficiency of hardware-based mobile malware detectors. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Tae Jun Ham, Lisa Wu 0001, Narayanan Sundaram, Nadathur Satish, Margaret Martonosi Graphicionado: A high-performance and energy-efficient accelerator for graph analytics. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Kaige Yan, Xingyao Zhang, Jingweijia Tan, Xin Fu Redefining QoS and customizing the power management policy to satisfy individual mobile users. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yu Ji 0002, Youhui Zhang, Shuangchen Li, Ping Chi, Cihang Jiang, Peng Qu, Yuan Xie 0001, Wenguang Chen NEUTRAMS: Neural network transformation and co-design under neuromorphic hardware constraints. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Stephen Zekany, Daniel Rings, Nathan Harada, Michael A. Laurenzano, Lingjia Tang, Jason Mars CrystalBall: Statically analyzing runtime behavior via deep sequence learning. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Dmitry Evtyushkin, Dmitry V. Ponomarev, Nael B. Abu-Ghazaleh Jump over ASLR: Attacking branch predictors to bypass ASLR. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Biswabandan Panda, André Seznec Dictionary sharing: An efficient cache compression scheme for compressed caches. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Naifeng Jing, Jianfei Wang, Fengfeng Fan, Wenkang Yu, Li Jiang 0002, Chao Li 0009, Xiaoyao Liang Cache-emulated register file: An integrated on-chip memory architecture for high performance GPGPUs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Jason Clemons, Chih-Chi Cheng, Iuri Frosio, Daniel R. Johnson, Stephen W. Keckler A patch memory system for image processing and computer vision. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Izzat El Hajj, Juan Gómez-Luna, Cheng Li 0014, Li-Wen Chang, Dejan S. Milojicic, Wen-mei W. Hwu KLAP: Kernel launch aggregation and promotion for optimizing dynamic parallelism. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Animesh Jain, Michael A. Laurenzano, Lingjia Tang, Jason Mars Continuous shape shifting: Enabling loop co-optimization via near-free dynamic code rewriting. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Minsoo Rhu, Natalia Gimelshein, Jason Clemons, Arslan Zulfiqar, Stephen W. Keckler vDNN: Virtualized deep neural networks for scalable, memory-efficient neural network design. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Johnathan Alsop, Marc S. Orr, Bradford M. Beckmann, David A. Wood 0001 Lazy release consistency for GPUs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Vaibhav Gogte, Aasheesh Kolli, Michael J. Cafarella, Loris D'Antoni, Thomas F. Wenisch HARE: Hardware accelerator for regular expressions. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Jia Zhan, Itir Akgun, Jishen Zhao, Al Davis, Paolo Faraboschi, Yuangang Wang, Yuan Xie 0001 A unified memory network architecture for in-memory computing in commodity servers. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Artem Vasilyev, Nikhil Bhagdikar, Ardavan Pedram, Stephen Richardson, Shahar Kvatinsky, Mark Horowitz Evaluating programmable architectures for imaging and vision applications. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Qingrui Liu, Changhee Jung, Dongyoon Lee, Devesh Tiwari Low-cost soft error resilience with unified data verification and fine-grained recovery for acoustic sensor based detection. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Renhai Chen, Zili Shao, Tao Li 0006 Bridging the I/O performance gap for big data workloads: A new NVDIMM-based approach. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Adrian M. Caulfield, Eric S. Chung, Andrew Putnam, Hari Angepat, Jeremy Fowers, Michael Haselman, Stephen Heil, Matt Humphrey, Puneet Kaur, Joo-Young Kim 0001, Daniel Lo, Todd Massengill, Kalin Ovtcharov, Michael Papamichael, Lisa Woods, Sitaram Lanka, Derek Chiou, Doug Burger A cloud-scale acceleration architecture. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yazhou Zu, Wei Huang 0004, Indrani Paul, Vijay Janapa Reddi Ti-states: Processor power management in the temperature inversion region. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yakun Sophia Shao, Sam Likun Xi, Vijayalakshmi Srinivasan, Gu-Yeon Wei, David M. Brooks Co-designing accelerators and SoC interfaces using gem5-Aladdin. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Ahmed ElTantawy, Tor M. Aamodt MIMD synchronization on SIMT architectures. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Nandita Vijaykumar, Kevin Hsieh, Gennady Pekhimenko, Samira Manabi Khan, Ashish Shrestha, Saugata Ghose, Adwait Jog, Phillip B. Gibbons, Onur Mutlu Zorua: A holistic approach to resource virtualization in GPUs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Aasheesh Kolli, Jeff Rosen, Stephan Diestelhorst, Ali G. Saidi, Steven Pelley, Sihang Liu 0001, Peter M. Chen, Thomas F. Wenisch Delegated persist ordering. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Gwangsun Kim, Changhyun Kim, Jiyun Jeong, Mike Parker, John Kim Contention-based congestion management in large-scale networks. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Chia-Chen Chou, Aamer Jaleel, Moinuddin K. Qureshi CANDY: Enabling coherent DRAM caches for multi-node systems. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Dimitrios Skarlatos 0002, Renji Thomas, Aditya Agrawal, Shibin Qin, Robert C. N. Pilawa-Podgurski, Ulya R. Karpuzcu, Radu Teodorescu, Nam Sung Kim, Josep Torrellas Snatch: Opportunistically reassigning power allocation between processor and memory in 3D stacks. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Milad Hashemi, Onur Mutlu, Yale N. Patt Continuous runahead: Transparent hardware acceleration for memory intensive workloads. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Nader Sehatbakhsh, Alireza Nazari, Alenka G. Zajic, Milos Prvulovic Spectral profiling: Observer-effect-free profiling by monitoring EM emanations. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Jia Zhan, Onur Kayiran, Gabriel H. Loh, Chita R. Das, Yuan Xie 0001 OSCAR: Orchestrating STT-RAM cache traffic for heterogeneous CPU-GPU architectures. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Guowei Zhang 0002, Virginia Chiu, Daniel Sánchez 0003 Exploiting semantic commutativity in hardware speculation. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Shijin Zhang, Zidong Du, Lei Zhang 0008, Huiying Lan, Shaoli Liu, Ling Li 0001, Qi Guo 0001, Tianshi Chen 0002, Yunji Chen Cambricon-X: An accelerator for sparse neural networks. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Tamara Silbergleit Lehman, Andrew D. Hilton, Benjamin C. Lee PoisonIvy: Safe speculation for secure memory. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Patrick Judd, Jorge Albericio, Tayler H. Hetherington, Tor M. Aamodt, Andreas Moshovos Stripes: Bit-serial deep neural network computing. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Reza Yazdani, Albert Segura, José-María Arnau, Antonio González 0001 An ultra low-power hardware accelerator for automatic speech recognition. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Mark C. Jeffrey, Suvinay Subramanian, Maleen Abeydeera, Joel S. Emer, Daniel Sánchez 0003 Data-centric execution of speculative parallel programs. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Margaret Martonosi Keynotes: Internet of Things: History and hype, technology and policy. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Hadi Asghari Moghaddam, Young Hoon Son, Jung Ho Ahn, Nam Sung Kim Chameleon: Versatile and practical near-DRAM acceleration architecture for large memory systems. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Animesh Jain, Parker Hill, Shih-Chieh Lin, Muneeb Khan, Md. Enamul Haque, Michael A. Laurenzano, Scott A. Mahlke, Lingjia Tang, Jason Mars Concise loads and stores: The case for an asymmetric compute-memory architecture for approximation. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Alexandros Daglis, Dmitrii Ustiugov, Stanko Novakovic, Edouard Bugnion, Babak Falsafi, Boris Grot SABRes: Atomic object reads for in-memory rack-scale computing. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Jinchun Kim, Seth H. Pugsley, Paul V. Gratz, A. L. Narasimha Reddy, Chris Wilkerson, Zeshan Chishti Path confidence based lookahead prefetching. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Joshua San Miguel, Jorge Albericio, Natalie D. Enright Jerger, Aamer Jaleel The Bunker Cache for spatio-value approximation. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Yonatan Gottesman, Yoav Etsion NeSC: Self-virtualizing nested storage controller. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Xulong Tang, Mahmut T. Kandemir, Praveen Yedlapalli, Jagadish Kotra Improving bank-level parallelism for irregular applications. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Radha Venkatagiri, Abdulrahman Mahmoud, Siva Kumar Sastry Hari, Sarita V. Adve Approxilyzer: Towards a systematic framework for instruction-level approximate computing and its application to hardware resiliency. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Prathmesh Kallurkar, Smruti R. Sarangi pTask: A smart prefetching scheme for OS intensive applications. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Dominic DiTomaso, Travis Boraten, Avinash Kodi, Ahmed Louri Dynamic error mitigation in NoCs using intelligent prediction techniques. Search on Bibsonomy MICRO The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
17Trevor E. Carlson, Siddharth Nilakantan, Mark Hempstead, Wim Heirman Epoch Profiles: Microarchitecture-Based Application Analysis and Optimization. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Alireza Monemi, Chia Yee Ooi, Muhammad Nadzir Marsono Low Latency Network-on-Chip Router Microarchitecture Using Request Masking Technique. Search on Bibsonomy Int. J. Reconfigurable Comput. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Balaram Sinharoy, James Van Norstrand, Richard J. Eickemeyer, Hung Q. Le, Jens Leenstra, Dung Q. Nguyen, B. Konigsburg, K. Ward, M. D. Brown, José E. Moreira, D. Levitan, S. Tung, David Hrusecky, James W. Bishop, Michael Gschwind, Maarten Boersma, Michael Kroener, Markus Kaltenbach, Tejas Karkhanis, K. M. Fernsler IBM POWER8 processor core microarchitecture. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Pierre Michaud, Andrea Mondelli, André Seznec Revisiting Clustered Microarchitecture for Future Superscalar Cores: A Case for Wide Issue Clusters. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Johannes Hofmann 0001, Dietmar Fey, Jan Eitzinger, Georg Hager, Gerhard Wellein Analysis of Intel's Haswell Microarchitecture Using The ECM Model and Microbenchmarks. Search on Bibsonomy CoRR The full citation details ... 2015 DBLP  BibTeX  RDF
17Alexandra Hotca, Shreyas Ravichandra, Artem Mikheev, Henry Rusinek, Gregory Chang Precision of volumetric assessment of proximal femur microarchitecture from high-resolution 3T MRI. Search on Bibsonomy Int. J. Comput. Assist. Radiol. Surg. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Milos Prvulovic (eds.) Proceedings of the 48th International Symposium on Microarchitecture, MICRO 2015, Waikiki, HI, USA, December 5-9, 2015 Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Arnaud Lefray, Eddy Caron, Jonathan Rouzaud-Cornabas, Christian Toinard Microarchitecture-Aware Virtual Machine Placement under Information Leakage Constraints. Search on Bibsonomy CLOUD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Libo Huang, Yongwen Wang, Qiang Dou, Chengyi Zhang, Caixia Sun, Chao Xu Fast FPGA system for microarchitecture optimization on synthesizable modern processor design. Search on Bibsonomy FPL The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Chen-Han Ho, Venkatraman Govindaraju, Tony Nowatzki, Ranjini Nagaraju, Zachary Marzec, Preeti Agarwal, Chris Frericks, Ryan Cofell, Karthikeyan Sankaralingam Performance evaluation of a DySER FPGA prototype system spanning the compiler, microarchitecture, and hardware implementation. Search on Bibsonomy ISPASS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Kaisheng Ma, Xueqing Li, Yongpan Liu, John Sampson, Yuan Xie 0001, Vijaykrishnan Narayanan Dynamic Machine Learning Based Matching of Nonvolatile Processor Microarchitecture to Harvested Energy Profile. Search on Bibsonomy ICCAD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Biruk Mammo, Ritesh Parikh, Valeria Bertacco ReDEEM: A heterogeneous distributed microarchitecture for energy-efficient reliability. Search on Bibsonomy ISLPED The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Garo Bournoutian, Alex Orailoglu Mobile ecosystem driven application-specific low-power control microarchitecture. Search on Bibsonomy ICCD The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Satish Kumar Sadasivam, S. Thamarai Selvi Performance evaluation of Data Mining algorithms on three generations of Intel® microarchitecture. Search on Bibsonomy HPCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Trevor E. Carlson, Wim Heirman, Osman Allam, Stefanos Kaxiras, Lieven Eeckhout The load slice core microarchitecture. Search on Bibsonomy ISCA The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jiwei Liu, Jun Yang 0002, Rami G. Melhem SAWS: synchronization aware GPGPU warp scheduling for multiple independent warp schedulers. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Harshad Kasture, Davide B. Bartolini, Nathan Beckmann, Daniel Sánchez 0003 Rubik: fast analytical power management for latency-critical systems. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Daniel Lo, Taejoon Song, G. Edward Suh Prediction-guided performance-energy trade-off for interactive applications. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Angelos Arelakis, Fredrik Dahlgren, Per Stenström HyComp: a hybrid cache compression method for selection of data-type-specific compression methods. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Yanwei Song, Engin Ipek More is less: improving the energy efficiency of data movement via opportunistic use of sparse codes. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Manjunath Shevgoor, Sahil Koladiya, Rajeev Balasubramonian, Chris Wilkerson, Seth H. Pugsley, Zeshan Chishti Efficiently prefetching complex address patterns. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Lena E. Olson, Jason Power, Mark D. Hill, David A. Wood 0001 Border control: sandboxing accelerators. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17William Arthur, Sahil Madeka, Reetuparna Das, Todd M. Austin Locking down insecure indirection with hardware-based control-data isolation. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Jingwen Leng, Alper Buyuktosunoglu, Ramon Bertran, Pradip Bose, Vijay Janapa Reddi Safe limits on voltage reduction efficiency in GPUs: a direct measurement approach. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Andreas Sembrant, Trevor E. Carlson, Erik Hagersten, David Black-Schaffer, Arthur Perais, André Seznec, Pierre Michaud Long term parking (LTP): criticality-aware resource allocation in OOO processors. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Enrique de Lucas, Pedro Marcuello, Joan-Manuel Parcerisa, Antonio González 0001 Ultra-low power render-based collision detection for CPU/GPU systems. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Dani Voitsechov, Yoav Etsion Control flow coalescing on a hybrid dataflow/von Neumann GPGPU. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Ajaykumar Kannan, Natalie D. Enright Jerger, Gabriel H. Loh Enabling interposer-based disintegration of multi-core processors. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Daniel S. McFarlin, Craig B. Zilles Bungee jumps: accelerating indirect branches through HW/SW co-design. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Yuhao Zhu 0001, Daniel Richins, Matthew Halpern, Vijay Janapa Reddi Microarchitectural implications of event-driven server-side web applications. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Tao Chen 0045, Alexander Rucker, G. Edward Suh Execution time prediction for energy-efficient hardware accelerators. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Yuanwei Fang, Tung Thanh Hoang, Michela Becchi, Andrew A. Chien Fast support for unstructured data processing: the unified automata processor. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Vivek Seshadri, Thomas Mullins, Amirali Boroumand, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry Gather-scatter DRAM: in-DRAM address translation to improve the spatial locality of non-unit strided accesses. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Xiangyao Yu, Christopher J. Hughes, Nadathur Satish, Srinivas Devadas IMP: indirect memory prefetcher. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Guowei Zhang 0002, Webb Horn, Daniel Sánchez 0003 Exploiting commutativity to reduce the cost of updates to shared data in cache-coherent systems. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Daehoon Kim, Hwanju Kim, Nam Sung Kim, Jaehyuk Huh 0001 vCache: architectural support for transparent and isolated virtual LLCs in virtualized environments. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Guoyang Chen, Xipeng Shen Free launch: optimizing GPU dynamic kernel launches through thread reuse. Search on Bibsonomy MICRO The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #1001 - #1100 of 2639 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license