The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microarchitecture with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1986 (15) 1987 (25) 1988 (29) 1989 (37) 1990 (36) 1991 (28) 1992 (47) 1993 (31) 1994 (35) 1995 (51) 1996 (38) 1997 (41) 1998 (43) 1999 (53) 2000 (58) 2001 (56) 2002 (75) 2003 (84) 2004 (92) 2005 (100) 2006 (115) 2007 (113) 2008 (101) 2009 (121) 2010 (72) 2011 (62) 2012 (72) 2013 (66) 2014 (64) 2015 (76) 2016 (77) 2017 (78) 2018 (88) 2019 (94) 2020 (99) 2021 (114) 2022 (105) 2023 (143) 2024 (5)
Publication types (Num. hits)
article(268) book(1) incollection(3) inproceedings(2314) phdthesis(13) proceedings(40)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1279 occurrences of 640 keywords

Results
Found 2639 publication records. Showing 2639 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Jaewoong Sim, Alaa R. Alameldeen, Zeshan Chishti, Chris Wilkerson, Hyesoon Kim Transparent Hardware Management of Stacked DRAM as Part of Memory. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jaewon Lee, Hanhwi Jang, Jangwoo Kim RpStacks: Fast and Accurate Processor Design Space Exploration Using Representative Stall-Event Stacks. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Mark Wilkening, Vilas Sridharan, Si Li, Fritz Previlon, Sudhanva Gurumurthi, David R. Kaeli Calculating Architectural Vulnerability Factors for Spatial Multi-Bit Transient Faults. Search on Bibsonomy MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Siddharth Garg, Diana Marculescu Addressing Process Variations at the Microarchitecture and System Level. Search on Bibsonomy Found. Trends Electron. Des. Autom. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Vijay Janapa Reddi Reliability-Aware Microarchitecture Design. Search on Bibsonomy IEEE Micro The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jui-Chieh Lin, Sao-Jie Chen, Yu Hen Hu Cycle-Efficient LFSR Implementation on Word-Based Microarchitecture. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Davor Capalija, Tarek S. Abdelrahman Microarchitecture of a Coarse-Grain Out-of-Order Superscalar Processor. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jung Ho Ahn, Young Hoon Son, John Kim Scalable high-radix router microarchitecture using a network switch organization. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jeyavijayan Rajendran, Arun K. Kanuparthi, Mohamed Zahran 0001, Sateesh Addepalli, Gaston Ormazabal, Ramesh Karri Securing Processors Against Insider Attacks: A Circuit-Microarchitecture Co-Design Approach. Search on Bibsonomy IEEE Des. Test The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Gianluca Borgese, Calogero Pace, Pietro S. Pantano, Eleonora Bilotta FPGA-Based Distributed Computing Microarchitecture for Complex Physical Dynamics Investigation. Search on Bibsonomy IEEE Trans. Neural Networks Learn. Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Venkatraman Govindaraju, Tony Nowatzki, Karthikeyan Sankaralingam Breaking SIMD shackles with an exposed flexible microarchitecture and the access execute PDG. Search on Bibsonomy PACT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Matthew K. Farrens, Christos Kozyrakis (eds.) The 46th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7-11, 2013 Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Maurizio Palesi, Terrence S. T. Mak, Masoud Daneshtalab (eds.) Network on Chip Architectures, NoCArc '13, in conjunction with the 46th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7, 2013 Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  BibTeX  RDF
17Jung Ho Ahn, Sheng Li 0007, Seongil O, Norman P. Jouppi McSimA+: A manycore simulator with application-level+ simulation and detailed microarchitecture modeling. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Xiufeng Sui, Tao Sun, Tao Li 0006, Lixin Zhang 0002 Understanding the implications of virtual machine management on processor microarchitecture design. Search on Bibsonomy ISPASS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Kuan Fang, Yufei Ni, Jiayuan He 0005, Zonghui Li, Shuai Mu 0002, Yangdong Deng FastLanes: An FPGA accelerated GPU microarchitecture simulator. Search on Bibsonomy ICCD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Christian Hochberger Polymorphic Computers - Virtualization of Instruction Set and Microarchitecture. Search on Bibsonomy GI-Jahrestagung The full citation details ... 2013 DBLP  BibTeX  RDF
17Dean Michael Ancajas, James McCabe Nickerson, Koushik Chakraborty, Sanghamitra Roy HCI-tolerant NoC router microarchitecture. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Adrian Sampson, Jacob Nelson 0001, Karin Strauss, Luis Ceze Approximate storage in solid-state memories. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Timothy Creech, Aparna Kotha, Rajeev Barua Efficient multiprogramming for multicores with SCAF. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Minsoo Rhu, Michael B. Sullivan 0001, Jingwen Leng, Mattan Erez A locality-aware memory hierarchy for energy-efficient GPU architectures. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Mehrzad Samadi, Janghaeng Lee, Davoud Anoushe Jamshidi, Amir Hormati, Scott A. Mahlke SAGE: self-tuning approximation for graphics engines. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Chao Li 0009, Yang Hu 0001, Ruijin Zhou, Ming Liu 0006, Longjun Liu, Jingling Yuan, Tao Li 0006 Enabling datacenter servers to scale out economically and sustainably. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Gennady Pekhimenko, Vivek Seshadri, Yoongu Kim, Hongyi Xin, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry Linearly compressed pages: a low-complexity, low-latency main memory compression framework. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Xuehai Qian, Josep Torrellas, Benjamín Sahelices, Depei Qian BulkCommit: scalable and fast commit of atomic blocks in a lazy multiprocessor environment. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jishen Zhao, Sheng Li 0007, Doe Hyun Yoon, Yuan Xie 0001, Norman P. Jouppi Kiln: closing the performance gap between systems with and without persistence support. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Wilson W. L. Fung, Tor M. Aamodt Energy efficient GPU transactional memory via space-time optimizations. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jie Fan 0004, Song Jiang 0001, Jiwu Shu, Youhui Zhang, Weimin Zhen Aegis: partitioning data block for efficient recovery of stuck-at-faults in phase change memory. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Abhisek Pan, Vijay S. Pai Imbalanced cache partitioning for balanced data-parallel programs. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Abhishek Bhattacharjee Large-reach memory management unit caches. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Yusuf Onur Koçberber, Boris Grot, Javier Picorel, Babak Falsafi, Kevin T. Lim, Parthasarathy Ranganathan Meet the walkers: accelerating index traversals for in-memory databases. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jason Zebchuk, Babak Falsafi, Andreas Moshovos Multi-grain coherence directories. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jayesh Gaur, Raghuram Srinivasan, Sreenivas Subramoney, Mainak Chaudhuri Efficient management of last-level caches in graphics processors for 3D scene rendering workloads. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Daniel A. Jiménez Insertion and promotion for tree-based PseudoLRU last-level caches. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Hongbo Rong, Hyunchul Park, Cheng Wang 0013, Youfeng Wu Allocating rotating registers by scheduling. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Mohammad Abdel-Majeed, Daniel Wong 0001, Murali Annavaram Warped gates: gating aware scheduling and power gating for GPGPUs. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Aasheesh Kolli, Ali G. Saidi, Thomas F. Wenisch RDIP: return-address-stack directed instruction prefetching. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Yuya Kora, Kyohei Yamaguchi, Hideki Ando MLP-aware dynamic instruction window resizing for adaptively exploiting both ILP and MLP. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ritesh Parikh, Valeria Bertacco uDIREC: unified diagnosis and reconfiguration for frugal bypass of NoC faults. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Augusto Vega, Alper Buyuktosunoglu, Heather Hanson, Pradip Bose, Srinivasan Ramani Crank it up or dial it down: coordinated multiprocessor frequency and folding control. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Mahdi Nazm Bojnordi, Engin Ipek DESC: energy-efficient data exchange using synchronized counters. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Yiannakis Sazeides, Emre Özer 0001, Danny Kershaw, Panagiota Nikolaou, Marios Kleanthous, Jaume Abella 0001 Implicit-storing and redundant-encoding-of-attribute information in error-correction-codes. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Akanksha Jain, Calvin Lin Linearizing irregular memory accesses for improved correlated prefetching. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Vivek Seshadri, Yoongu Kim, Chris Fallin, Donghyuk Lee, Rachata Ausavarungnirun, Gennady Pekhimenko, Yixin Luo, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry RowClone: fast and energy-efficient in-DRAM bulk data copy and initialization. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Hyungjun Kim, Arseniy Vitkovskiy, Paul V. Gratz, Vassos Soteriou Use it or lose it: wear-out and lifetime in future chip multiprocessors. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jason Power, Arkaprava Basu, Junli Gu, Sooraj Puthoor, Bradford M. Beckmann, Mark D. Hill, Steven K. Reinhardt, David A. Wood 0001 Heterogeneous system coherence for integrated CPU-GPU systems. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Syed Zohaib Gilani, Nam Sung Kim, Michael J. Schulte Exploiting GPU peak-power and performance tradeoffs through reduced effective pipeline latency. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Somayeh Sardashti, David A. Wood 0001 Decoupled compressed cache: exploiting spatial locality for energy-optimized compressed caching. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Cansu Kaynak, Boris Grot, Babak Falsafi SHIFT: shared history instruction fetch for lean-core server processors. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jorge Albericio, Pablo Ibáñez, Víctor Viñals, José M. Llabería The reuse cache: downsizing the shared last-level cache. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Swagath Venkataramani, Vinay K. Chippa, Srimat T. Chakradhar, Kaushik Roy 0001, Anand Raghunathan Quality programmable vector processors for approximate computing. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Timothy G. Rogers, Mike O'Connor, Tor M. Aamodt Divergence-aware warp scheduling. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Raghuraman Balasubramanian, Karthikeyan Sankaralingam Virtually-aged sampling DMR: unifying circuit failure prediction and circuit failure detection. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Andreas Sembrant, Erik Hagersten, David Black-Schaffer TLC: a tag-less cache for reducing dynamic first level cache energy. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Manjunath Shevgoor, Jung-Sik Kim, Niladrish Chatterjee, Rajeev Balasubramonian, Al Davis, Aniruddha N. Udipi Quantifying the relationship between the power delivery network and architectural policies in a 3D-stacked memory device. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Arslan Zulfiqar, Pranay Koka, Herb Schwetman, Mikko H. Lipasti, Xuezhe Zheng, Ashok V. Krishnamoorthy Wavelength stealing: an opportunistic approach to channel sharing in multi-chip photonic interconnects. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Shruti Padmanabha, Andrew Lukefahr, Reetuparna Das, Scott A. Mahlke Trace based phase prediction for tightly-coupled heterogeneous cores. Search on Bibsonomy MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Swamy D. Ponpandi, Akhilesh Tyagi User satisfaction aware routing decisions in NOC. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Gunhee Lee, Jinho Lee, Kiyoung Choi Towards optimal adaptive routing in 3D NoC with limited vertical bandwidth. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Partha Pratim Pande Millimeter (mm)-wave wireless NoC as interconnection backbone for multicore chips: promises and challenges. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Jun Pang 0001, Christopher Dwyer, Alvin R. Lebeck Exploiting emerging technologies for nanoscale photonic networks-on-chip. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ryosuke Sasakawa, Kenji Kise LEF: long edge first routing for two-dimensional mesh network on chip. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ayhan Demiriz, Nader Bagherzadeh On heterogeneous network-on-chip design based on constraint programming. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Reza Hajisheykhi, Ali Ebnenasir, Sandeep S. Kulkarni Modeling and analyzing timing faults in transaction level SystemC programs. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Usman Mazhar Mirza, Flavius Gruian, Krzysztof Kuchcinski Design space exploration for streaming applications on multiprocessors with guaranteed service NoC. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Vincenzo Catania, Andrea Mineo, Salvatore Monteleone, Davide Patti A first effort for a distributed segment-based approach on self-assembled nano networks. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Ahsen Ejaz, Axel Jantsch Costs and benefits of flexibility in spatial division circuit switched networks-on-chip. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Wen Zong, Xiaohang Wang 0001, Terrence S. T. Mak On multicast for dynamic and irregular on-chip networks using dynamic programming method. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17George B. P. Bezerra, Dorian C. Arnold, Stephanie Forrest Empirical and theoretical lower bounds on energy consumption for networks on chip. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
17Efraim Rotem, Alon Naveh, Avinash Ananthakrishnan, Eliezer Weissmann, Doron Rajwan Power-Management Architecture of the Intel Microarchitecture Code-Named Sandy Bridge. Search on Bibsonomy IEEE Micro The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Juinn-Dar Huang, Chia-I Chen, Wan-Ling Hsu, Yen-Ting Lin, Jing-Yang Jou Performance-Driven Architectural Synthesis for Distributed Register-File Microarchitecture with Inter-Island Delay. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Nilanjan Goswami, Zhongqi Li, Ajit Verma, Ramkumar Shankar, Tao Li 0006 Integrating nanophotonics in GPU microarchitecture. Search on Bibsonomy PACT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Fabian Oboril, Mehdi Baradaran Tahoori ExtraTime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level. Search on Bibsonomy DSN The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Arun K. Kanuparthi, Ramesh Karri, Gaston Ormazabal, Sateesh Addepalli A Survey of Microarchitecture Support for Embedded Processor Security. Search on Bibsonomy ISVLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17 45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2012, Workshops Proceedings, Vancouver, BC, Canada, December 1-5, 2012 Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  BibTeX  RDF
17 45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2012, Vancouver, BC, Canada, December 1-5, 2012 Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  BibTeX  RDF
17Jung Ho Ahn, Sungwoo Choo, John Kim Network within a network approach to create a scalable high-radix router microarchitecture. Search on Bibsonomy HPCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Yang Zhang, Feng Shi, Qi Zuo, Shahnawaz Talpur, Ziyu Liu Express Router Microarchitecture for Triplet-based Hierarchical Interconnection Network. Search on Bibsonomy HPCC-ICESS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Bin Xie 0002, Weiwei Fu, Tianzhou Chen TrafficLite: A Configurable On-Chip Interconnect Router Microarchitecture. Search on Bibsonomy HPCC-ICESS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Freek Verbeek, Julien Schmaltz Automatic generation of deadlock detection algorithms for a family of microarchitecture description languages of communication fabrics. Search on Bibsonomy HLDVT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Hui Li, Kang Li 0003, Taehyong Kim, Aidong Zhang, Murali Ramanathan Spatial modeling of bone microarchitecture. Search on Bibsonomy Three-Dimensional Image Processing (3DIP) and Applications The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Chad D. Kersey, Arun Rodrigues, Sudhakar Yalamanchili A universal parallel front-end for execution driven microarchitecture simulation. Search on Bibsonomy RAPIDO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Carsten Tradowsky, Florian Thoma, Michael Hübner 0001, Jürgen Becker 0001 LISPARC: Using an architecture description language approach for modelling an adaptive processor microarchitecture. Search on Bibsonomy SIES The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Yasuko Eckert, Srilatha Manne, Michael J. Schulte, David A. Wood 0001 Something old and something new: P-states can borrow microarchitecture techniques too. Search on Bibsonomy ISLPED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Isaac Liu, Jan Reineke 0001, David Broman, Michael Zimmer 0001, Edward A. Lee A PRET microarchitecture implementation with repeatable timing and competitive performance. Search on Bibsonomy ICCD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Arun K. Kanuparthi, Ramesh Karri, Gaston Ormazabal, Sateesh Addepalli A high-performance, low-overhead microarchitecture for secure program execution. Search on Bibsonomy ICCD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Dan Zhao 0001, Yi Wang 0007 Design of a scalable RF microarchitecture for heterogeneous MPSoCs. Search on Bibsonomy SoCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Myoungsoo Jung, Ellis Herbert Wilson, David Donofrio, John Shalf, Mahmut T. Kandemir NANDFlashSim: Intrinsic latency variation aware NAND flash memory system modeling and simulation at microarchitecture level. Search on Bibsonomy MSST The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jason Cong, Bin Liu 0006 A metric for layout-friendly microarchitecture optimization in high-level synthesis. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Hui Li, Cathy Buyea, Xiaoyi Li, Murali Ramanathan, Lawrence Bone, Aidong Zhang 3D bone microarchitecture modeling and fracture risk prediction. Search on Bibsonomy BCB The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Sarani Bhattacharya, Chester Rebeiro, Debdeep Mukhopadhyay Hardware Prefetchers Leak: A Revisit of SVF for Cache-Timing Attacks. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Farrukh Hijaz, Qingchuan Shi, Omer Khan Low-Latency Mechanisms for Near-Threshold Operation of Private Caches in Shared Memory Multicores. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Tianwei Zhang 0004, Jakub Szefer, Ruby B. Lee Security verification of hardware-enabled attestation protocols. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Nicolas Debande, Youssef Souissi, M. Abdelaziz Elaabid, Sylvain Guilley, Jean-Luc Danger Wavelet transform based pre-processing for side channel analysis. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mostafa Farahani, Amirali Baniasadi Performance and Power Solutions for Caches Using 8T SRAM Cells. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Guido Bertoni, Joan Daemen, Nicolas Debande, Thanh-Ha Le, Michaël Peeters, Gilles Van Assche Power analysis of hardware implementations protected with secret sharing. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Raghavan Kumar, Wayne P. Burleson PHAP: Password based Hardware Authentication using PUFs. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Tao Feng 0011, Ziyi Liu, Bogdan Carbunar, Dainis Boumber, Weidong Shi Continuous Remote Mobile Identity Management Using Biometric Integrated Touch-Display. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Hyoun Kyu Cho, Scott A. Mahlke Dynamic acceleration of multithreaded program critical paths in near-threshold systems. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Shivam Bhasin, Sylvain Guilley, Jean-Luc Danger From Cryptography to Hardware: Analyzing Embedded Xilinx BRAM for Cryptographic Applications. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #1201 - #1300 of 2639 (100 per page; Change: )
Pages: [<<][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license