|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 1279 occurrences of 640 keywords
|
|
|
Results
Found 2639 publication records. Showing 2639 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Jaewoong Sim, Alaa R. Alameldeen, Zeshan Chishti, Chris Wilkerson, Hyesoon Kim |
Transparent Hardware Management of Stacked DRAM as Part of Memory. |
MICRO |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Jaewon Lee, Hanhwi Jang, Jangwoo Kim |
RpStacks: Fast and Accurate Processor Design Space Exploration Using Representative Stall-Event Stacks. |
MICRO |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Mark Wilkening, Vilas Sridharan, Si Li, Fritz Previlon, Sudhanva Gurumurthi, David R. Kaeli |
Calculating Architectural Vulnerability Factors for Spatial Multi-Bit Transient Faults. |
MICRO |
2014 |
DBLP DOI BibTeX RDF |
|
17 | Siddharth Garg, Diana Marculescu |
Addressing Process Variations at the Microarchitecture and System Level. |
Found. Trends Electron. Des. Autom. |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Vijay Janapa Reddi |
Reliability-Aware Microarchitecture Design. |
IEEE Micro |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jui-Chieh Lin, Sao-Jie Chen, Yu Hen Hu |
Cycle-Efficient LFSR Implementation on Word-Based Microarchitecture. |
IEEE Trans. Computers |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Davor Capalija, Tarek S. Abdelrahman |
Microarchitecture of a Coarse-Grain Out-of-Order Superscalar Processor. |
IEEE Trans. Parallel Distributed Syst. |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jung Ho Ahn, Young Hoon Son, John Kim |
Scalable high-radix router microarchitecture using a network switch organization. |
ACM Trans. Archit. Code Optim. |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jeyavijayan Rajendran, Arun K. Kanuparthi, Mohamed Zahran 0001, Sateesh Addepalli, Gaston Ormazabal, Ramesh Karri |
Securing Processors Against Insider Attacks: A Circuit-Microarchitecture Co-Design Approach. |
IEEE Des. Test |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Gianluca Borgese, Calogero Pace, Pietro S. Pantano, Eleonora Bilotta |
FPGA-Based Distributed Computing Microarchitecture for Complex Physical Dynamics Investigation. |
IEEE Trans. Neural Networks Learn. Syst. |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Venkatraman Govindaraju, Tony Nowatzki, Karthikeyan Sankaralingam |
Breaking SIMD shackles with an exposed flexible microarchitecture and the access execute PDG. |
PACT |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Matthew K. Farrens, Christos Kozyrakis (eds.) |
The 46th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7-11, 2013 |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Maurizio Palesi, Terrence S. T. Mak, Masoud Daneshtalab (eds.) |
Network on Chip Architectures, NoCArc '13, in conjunction with the 46th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-46, Davis, CA, USA, December 7, 2013 |
NoCArc@MICRO |
2013 |
DBLP BibTeX RDF |
|
17 | Jung Ho Ahn, Sheng Li 0007, Seongil O, Norman P. Jouppi |
McSimA+: A manycore simulator with application-level+ simulation and detailed microarchitecture modeling. |
ISPASS |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Xiufeng Sui, Tao Sun, Tao Li 0006, Lixin Zhang 0002 |
Understanding the implications of virtual machine management on processor microarchitecture design. |
ISPASS |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Kuan Fang, Yufei Ni, Jiayuan He 0005, Zonghui Li, Shuai Mu 0002, Yangdong Deng |
FastLanes: An FPGA accelerated GPU microarchitecture simulator. |
ICCD |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Christian Hochberger |
Polymorphic Computers - Virtualization of Instruction Set and Microarchitecture. |
GI-Jahrestagung |
2013 |
DBLP BibTeX RDF |
|
17 | Dean Michael Ancajas, James McCabe Nickerson, Koushik Chakraborty, Sanghamitra Roy |
HCI-tolerant NoC router microarchitecture. |
DAC |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Adrian Sampson, Jacob Nelson 0001, Karin Strauss, Luis Ceze |
Approximate storage in solid-state memories. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Timothy Creech, Aparna Kotha, Rajeev Barua |
Efficient multiprogramming for multicores with SCAF. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Minsoo Rhu, Michael B. Sullivan 0001, Jingwen Leng, Mattan Erez |
A locality-aware memory hierarchy for energy-efficient GPU architectures. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Mehrzad Samadi, Janghaeng Lee, Davoud Anoushe Jamshidi, Amir Hormati, Scott A. Mahlke |
SAGE: self-tuning approximation for graphics engines. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Chao Li 0009, Yang Hu 0001, Ruijin Zhou, Ming Liu 0006, Longjun Liu, Jingling Yuan, Tao Li 0006 |
Enabling datacenter servers to scale out economically and sustainably. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Gennady Pekhimenko, Vivek Seshadri, Yoongu Kim, Hongyi Xin, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry |
Linearly compressed pages: a low-complexity, low-latency main memory compression framework. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Xuehai Qian, Josep Torrellas, Benjamín Sahelices, Depei Qian |
BulkCommit: scalable and fast commit of atomic blocks in a lazy multiprocessor environment. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jishen Zhao, Sheng Li 0007, Doe Hyun Yoon, Yuan Xie 0001, Norman P. Jouppi |
Kiln: closing the performance gap between systems with and without persistence support. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Wilson W. L. Fung, Tor M. Aamodt |
Energy efficient GPU transactional memory via space-time optimizations. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jie Fan 0004, Song Jiang 0001, Jiwu Shu, Youhui Zhang, Weimin Zhen |
Aegis: partitioning data block for efficient recovery of stuck-at-faults in phase change memory. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Abhisek Pan, Vijay S. Pai |
Imbalanced cache partitioning for balanced data-parallel programs. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Abhishek Bhattacharjee |
Large-reach memory management unit caches. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Yusuf Onur Koçberber, Boris Grot, Javier Picorel, Babak Falsafi, Kevin T. Lim, Parthasarathy Ranganathan |
Meet the walkers: accelerating index traversals for in-memory databases. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jason Zebchuk, Babak Falsafi, Andreas Moshovos |
Multi-grain coherence directories. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jayesh Gaur, Raghuram Srinivasan, Sreenivas Subramoney, Mainak Chaudhuri |
Efficient management of last-level caches in graphics processors for 3D scene rendering workloads. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Daniel A. Jiménez |
Insertion and promotion for tree-based PseudoLRU last-level caches. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Hongbo Rong, Hyunchul Park, Cheng Wang 0013, Youfeng Wu |
Allocating rotating registers by scheduling. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Mohammad Abdel-Majeed, Daniel Wong 0001, Murali Annavaram |
Warped gates: gating aware scheduling and power gating for GPGPUs. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Aasheesh Kolli, Ali G. Saidi, Thomas F. Wenisch |
RDIP: return-address-stack directed instruction prefetching. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Yuya Kora, Kyohei Yamaguchi, Hideki Ando |
MLP-aware dynamic instruction window resizing for adaptively exploiting both ILP and MLP. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Ritesh Parikh, Valeria Bertacco |
uDIREC: unified diagnosis and reconfiguration for frugal bypass of NoC faults. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Augusto Vega, Alper Buyuktosunoglu, Heather Hanson, Pradip Bose, Srinivasan Ramani |
Crank it up or dial it down: coordinated multiprocessor frequency and folding control. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Mahdi Nazm Bojnordi, Engin Ipek |
DESC: energy-efficient data exchange using synchronized counters. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Yiannakis Sazeides, Emre Özer 0001, Danny Kershaw, Panagiota Nikolaou, Marios Kleanthous, Jaume Abella 0001 |
Implicit-storing and redundant-encoding-of-attribute information in error-correction-codes. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Akanksha Jain, Calvin Lin |
Linearizing irregular memory accesses for improved correlated prefetching. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Vivek Seshadri, Yoongu Kim, Chris Fallin, Donghyuk Lee, Rachata Ausavarungnirun, Gennady Pekhimenko, Yixin Luo, Onur Mutlu, Phillip B. Gibbons, Michael A. Kozuch, Todd C. Mowry |
RowClone: fast and energy-efficient in-DRAM bulk data copy and initialization. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Hyungjun Kim, Arseniy Vitkovskiy, Paul V. Gratz, Vassos Soteriou |
Use it or lose it: wear-out and lifetime in future chip multiprocessors. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jason Power, Arkaprava Basu, Junli Gu, Sooraj Puthoor, Bradford M. Beckmann, Mark D. Hill, Steven K. Reinhardt, David A. Wood 0001 |
Heterogeneous system coherence for integrated CPU-GPU systems. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Syed Zohaib Gilani, Nam Sung Kim, Michael J. Schulte |
Exploiting GPU peak-power and performance tradeoffs through reduced effective pipeline latency. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Somayeh Sardashti, David A. Wood 0001 |
Decoupled compressed cache: exploiting spatial locality for energy-optimized compressed caching. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Cansu Kaynak, Boris Grot, Babak Falsafi |
SHIFT: shared history instruction fetch for lean-core server processors. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jorge Albericio, Pablo Ibáñez, Víctor Viñals, José M. Llabería |
The reuse cache: downsizing the shared last-level cache. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Swagath Venkataramani, Vinay K. Chippa, Srimat T. Chakradhar, Kaushik Roy 0001, Anand Raghunathan |
Quality programmable vector processors for approximate computing. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Timothy G. Rogers, Mike O'Connor, Tor M. Aamodt |
Divergence-aware warp scheduling. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Raghuraman Balasubramanian, Karthikeyan Sankaralingam |
Virtually-aged sampling DMR: unifying circuit failure prediction and circuit failure detection. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Andreas Sembrant, Erik Hagersten, David Black-Schaffer |
TLC: a tag-less cache for reducing dynamic first level cache energy. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Manjunath Shevgoor, Jung-Sik Kim, Niladrish Chatterjee, Rajeev Balasubramonian, Al Davis, Aniruddha N. Udipi |
Quantifying the relationship between the power delivery network and architectural policies in a 3D-stacked memory device. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Arslan Zulfiqar, Pranay Koka, Herb Schwetman, Mikko H. Lipasti, Xuezhe Zheng, Ashok V. Krishnamoorthy |
Wavelength stealing: an opportunistic approach to channel sharing in multi-chip photonic interconnects. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Shruti Padmanabha, Andrew Lukefahr, Reetuparna Das, Scott A. Mahlke |
Trace based phase prediction for tightly-coupled heterogeneous cores. |
MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Swamy D. Ponpandi, Akhilesh Tyagi |
User satisfaction aware routing decisions in NOC. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Gunhee Lee, Jinho Lee, Kiyoung Choi |
Towards optimal adaptive routing in 3D NoC with limited vertical bandwidth. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Partha Pratim Pande |
Millimeter (mm)-wave wireless NoC as interconnection backbone for multicore chips: promises and challenges. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Jun Pang 0001, Christopher Dwyer, Alvin R. Lebeck |
Exploiting emerging technologies for nanoscale photonic networks-on-chip. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Ryosuke Sasakawa, Kenji Kise |
LEF: long edge first routing for two-dimensional mesh network on chip. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Ayhan Demiriz, Nader Bagherzadeh |
On heterogeneous network-on-chip design based on constraint programming. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Reza Hajisheykhi, Ali Ebnenasir, Sandeep S. Kulkarni |
Modeling and analyzing timing faults in transaction level SystemC programs. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Usman Mazhar Mirza, Flavius Gruian, Krzysztof Kuchcinski |
Design space exploration for streaming applications on multiprocessors with guaranteed service NoC. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Vincenzo Catania, Andrea Mineo, Salvatore Monteleone, Davide Patti |
A first effort for a distributed segment-based approach on self-assembled nano networks. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Ahsen Ejaz, Axel Jantsch |
Costs and benefits of flexibility in spatial division circuit switched networks-on-chip. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Wen Zong, Xiaohang Wang 0001, Terrence S. T. Mak |
On multicast for dynamic and irregular on-chip networks using dynamic programming method. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | George B. P. Bezerra, Dorian C. Arnold, Stephanie Forrest |
Empirical and theoretical lower bounds on energy consumption for networks on chip. |
NoCArc@MICRO |
2013 |
DBLP DOI BibTeX RDF |
|
17 | Efraim Rotem, Alon Naveh, Avinash Ananthakrishnan, Eliezer Weissmann, Doron Rajwan |
Power-Management Architecture of the Intel Microarchitecture Code-Named Sandy Bridge. |
IEEE Micro |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Juinn-Dar Huang, Chia-I Chen, Wan-Ling Hsu, Yen-Ting Lin, Jing-Yang Jou |
Performance-Driven Architectural Synthesis for Distributed Register-File Microarchitecture with Inter-Island Delay. |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Nilanjan Goswami, Zhongqi Li, Ajit Verma, Ramkumar Shankar, Tao Li 0006 |
Integrating nanophotonics in GPU microarchitecture. |
PACT |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Fabian Oboril, Mehdi Baradaran Tahoori |
ExtraTime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level. |
DSN |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Arun K. Kanuparthi, Ramesh Karri, Gaston Ormazabal, Sateesh Addepalli |
A Survey of Microarchitecture Support for Embedded Processor Security. |
ISVLSI |
2012 |
DBLP DOI BibTeX RDF |
|
17 | |
45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2012, Workshops Proceedings, Vancouver, BC, Canada, December 1-5, 2012 |
MICRO Workshops |
2012 |
DBLP BibTeX RDF |
|
17 | |
45th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2012, Vancouver, BC, Canada, December 1-5, 2012 |
MICRO |
2012 |
DBLP BibTeX RDF |
|
17 | Jung Ho Ahn, Sungwoo Choo, John Kim |
Network within a network approach to create a scalable high-radix router microarchitecture. |
HPCA |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Yang Zhang, Feng Shi, Qi Zuo, Shahnawaz Talpur, Ziyu Liu |
Express Router Microarchitecture for Triplet-based Hierarchical Interconnection Network. |
HPCC-ICESS |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Bin Xie 0002, Weiwei Fu, Tianzhou Chen |
TrafficLite: A Configurable On-Chip Interconnect Router Microarchitecture. |
HPCC-ICESS |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Freek Verbeek, Julien Schmaltz |
Automatic generation of deadlock detection algorithms for a family of microarchitecture description languages of communication fabrics. |
HLDVT |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Hui Li, Kang Li 0003, Taehyong Kim, Aidong Zhang, Murali Ramanathan |
Spatial modeling of bone microarchitecture. |
Three-Dimensional Image Processing (3DIP) and Applications |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Chad D. Kersey, Arun Rodrigues, Sudhakar Yalamanchili |
A universal parallel front-end for execution driven microarchitecture simulation. |
RAPIDO |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Carsten Tradowsky, Florian Thoma, Michael Hübner 0001, Jürgen Becker 0001 |
LISPARC: Using an architecture description language approach for modelling an adaptive processor microarchitecture. |
SIES |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Yasuko Eckert, Srilatha Manne, Michael J. Schulte, David A. Wood 0001 |
Something old and something new: P-states can borrow microarchitecture techniques too. |
ISLPED |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Isaac Liu, Jan Reineke 0001, David Broman, Michael Zimmer 0001, Edward A. Lee |
A PRET microarchitecture implementation with repeatable timing and competitive performance. |
ICCD |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Arun K. Kanuparthi, Ramesh Karri, Gaston Ormazabal, Sateesh Addepalli |
A high-performance, low-overhead microarchitecture for secure program execution. |
ICCD |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Dan Zhao 0001, Yi Wang 0007 |
Design of a scalable RF microarchitecture for heterogeneous MPSoCs. |
SoCC |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Myoungsoo Jung, Ellis Herbert Wilson, David Donofrio, John Shalf, Mahmut T. Kandemir |
NANDFlashSim: Intrinsic latency variation aware NAND flash memory system modeling and simulation at microarchitecture level. |
MSST |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Jason Cong, Bin Liu 0006 |
A metric for layout-friendly microarchitecture optimization in high-level synthesis. |
DAC |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Hui Li, Cathy Buyea, Xiaoyi Li, Murali Ramanathan, Lawrence Bone, Aidong Zhang |
3D bone microarchitecture modeling and fracture risk prediction. |
BCB |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Sarani Bhattacharya, Chester Rebeiro, Debdeep Mukhopadhyay |
Hardware Prefetchers Leak: A Revisit of SVF for Cache-Timing Attacks. |
MICRO Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Farrukh Hijaz, Qingchuan Shi, Omer Khan |
Low-Latency Mechanisms for Near-Threshold Operation of Private Caches in Shared Memory Multicores. |
MICRO Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Tianwei Zhang 0004, Jakub Szefer, Ruby B. Lee |
Security verification of hardware-enabled attestation protocols. |
MICRO Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Nicolas Debande, Youssef Souissi, M. Abdelaziz Elaabid, Sylvain Guilley, Jean-Luc Danger |
Wavelet transform based pre-processing for side channel analysis. |
MICRO Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Mostafa Farahani, Amirali Baniasadi |
Performance and Power Solutions for Caches Using 8T SRAM Cells. |
MICRO Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Guido Bertoni, Joan Daemen, Nicolas Debande, Thanh-Ha Le, Michaël Peeters, Gilles Van Assche |
Power analysis of hardware implementations protected with secret sharing. |
MICRO Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Raghavan Kumar, Wayne P. Burleson |
PHAP: Password based Hardware Authentication using PUFs. |
MICRO Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Tao Feng 0011, Ziyi Liu, Bogdan Carbunar, Dainis Boumber, Weidong Shi |
Continuous Remote Mobile Identity Management Using Biometric Integrated Touch-Display. |
MICRO Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Hyoun Kyu Cho, Scott A. Mahlke |
Dynamic acceleration of multithreaded program critical paths in near-threshold systems. |
MICRO Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
17 | Shivam Bhasin, Sylvain Guilley, Jean-Luc Danger |
From Cryptography to Hardware: Analyzing Embedded Xilinx BRAM for Cryptographic Applications. |
MICRO Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
|
|