The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for microarchitecture with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1981-1986 (15) 1987 (25) 1988 (29) 1989 (37) 1990 (36) 1991 (28) 1992 (47) 1993 (31) 1994 (35) 1995 (51) 1996 (38) 1997 (41) 1998 (43) 1999 (53) 2000 (58) 2001 (56) 2002 (75) 2003 (84) 2004 (92) 2005 (100) 2006 (115) 2007 (113) 2008 (101) 2009 (121) 2010 (72) 2011 (62) 2012 (72) 2013 (66) 2014 (64) 2015 (76) 2016 (77) 2017 (78) 2018 (88) 2019 (94) 2020 (99) 2021 (114) 2022 (105) 2023 (143) 2024 (5)
Publication types (Num. hits)
article(268) book(1) incollection(3) inproceedings(2314) phdthesis(13) proceedings(40)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1279 occurrences of 640 keywords

Results
Found 2639 publication records. Showing 2639 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Erich Wenger, Johann Großschädl An 8-bit AVR-Based Elliptic Curve Cryptographic RISC Processor for the Internet of Things. Search on Bibsonomy MICRO Workshops The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Wei Huang 0004, Charles Lefurgy, William Kuk, Alper Buyuktosunoglu, Michael S. Floyd, Karthick Rajamani, Malcolm Allen-Ware, Bishop Brock Accurate Fine-Grained Processor Power Proxies. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Andrew Lukefahr, Shruti Padmanabha, Reetuparna Das, Faissal M. Sleiman, Ronald G. Dreslinski, Thomas F. Wenisch, Scott A. Mahlke Composite Cores: Pushing Heterogeneity Into a Core. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jan van Lunteren, Christoph Hagleitner, Timothy Heil, Giora Biran, Uzi Shvadron, Kubilay Atasu Designing a Programmable Wire-Speed Regular-Expression Matching Accelerator. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Randy Morris, Avinash Karanth Kodi, Ahmed Louri Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Daniel Wong 0001, Murali Annavaram KnightShift: Scaling the Energy Proportionality Wall through Server-Level Heterogeneity. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Youngtaek Kim, Lizy Kurian John, Sanjay Pant, Srilatha Manne, Michael J. Schulte, William Lloyd Bircher, Madhu Saravana Sibi Govindan AUDIT: Stress Testing the Automatic Way. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Petar Radojkovic, Paul M. Carpenter, Miquel Moretó, Alex Ramírez, Francisco J. Cazorla Kernel Partitioning of Streaming Applications: A Statistical Approach to an NP-complete Problem. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Weidan Wu, Benjamin C. Lee Inferred Models for Dynamic and Sparse Hardware-Software Spaces. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Lizhong Chen, Timothy Mark Pinkston NoRD: Node-Router Decoupling for Effective Power-gating of On-Chip Routers. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mark Gebhart, Stephen W. Keckler, Brucek Khailany, Ronny Krashinsky, William J. Dally Unifying Primary Cache, Scratch, and Register File Memories in a Throughput Processor. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Christian Jacobi 0002, Timothy J. Slegel, Dan F. Greiner Transactional Memory Architecture and Implementation for IBM System Z. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Qingyuan Deng, David Meisner, Abhishek Bhattacharjee, Thomas F. Wenisch, Ricardo Bianchini CoScale: Coordinating CPU and Memory System DVFS in Server Systems. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Socrates Demetriades, Sangyeun Cho Predicting Coherence Communication by Tracking Synchronization Points at Run Time. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Snehasish Kumar, Hongzhou Zhao, Arrvindh Shriraman, Eric Matthews, Sandhya Dwarkadas, Lesley Shannon Amoeba-Cache: Adaptive Blocks for Eliminating Waste in the Memory Hierarchy. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Hadi Esmaeilzadeh, Adrian Sampson, Luis Ceze, Doug Burger Neural Acceleration for General-Purpose Approximate Programs. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Hyeran Jeon, Murali Annavaram Warped-DMR: Light-weight Error Detection for GPGPU. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Abdullah Muzahid, Shanxiang Qi, Josep Torrellas Vulcan: Hardware Support for Detecting Sequential Consistency Violations Dynamically. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Alain Ketterlin, Philippe Clauss Profiling Data-Dependence to Assist Parallelization: Framework, Scope, and Optimization. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Mohammad Alisafaee Spatiotemporal Coherence Tracking. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Lei Jiang 0001, Youtao Zhang, Bruce R. Childers, Jun Yang 0002 FPB: Fine-grained Power Budgeting to Improve Write Throughput of Multi-level Cell Phase Change Memory. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Binh Pham 0003, Viswanathan Vaidyanathan, Aamer Jaleel, Abhishek Bhattacharjee CoLT: Coalesced Large-Reach TLBs. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Krishna T. Malladi, Ian Shaeffer, Liji Gopalakrishnan, David Lo 0001, Benjamin C. Lee, Mark Horowitz Rethinking DRAM Power Modes for Energy Proportionality. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Pejman Lotfi-Kamran, Boris Grot, Babak Falsafi NOC-Out: Microarchitecting a Scale-Out Processor. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Yongjun Park 0001, Jason Jong Kyu Park, Hyunchul Park 0001, Scott A. Mahlke Libra: Tailoring SIMD Execution Using Heterogeneous Hardware and Dynamic Configurability. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Timothy G. Rogers, Mike O'Connor, Tor M. Aamodt Cache-Conscious Wavefront Scheduling. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Nam Duong, Dali Zhao, Taesu Kim, Rosario Cammarota, Mateo Valero, Alexander V. Veidenbaum Improving Cache Management Policies Using Dynamic Reuse Distances. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Haicheng Wu, Gregory Frederick Diamos, Srihari Cadambi, Sudhakar Yalamanchili Kernel Weaver: Automatically Fusing Database Primitives for Efficient GPU Computation. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Niladrish Chatterjee, Manjunath Shevgoor, Rajeev Balasubramonian, Al Davis, Zhen Fang 0002, Ramesh Illikkal, Ravi R. Iyer 0001 Leveraging Heterogeneity in DRAM Main Memories to Accelerate Critical Word Access. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Cheng Wang 0013, Youfeng Wu, Hongbo Rong, Hyunchul Park SMARQ: Software-Managed Alias Register Queue for Dynamic Optimizations. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Islam Atta, Pinar Tözün, Anastasia Ailamaki, Andreas Moshovos SLICC: Self-Assembly of Instruction Cache Collectives for OLTP Workloads. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Akbar Sharifi, Emre Kultursay, Mahmut T. Kandemir, Chita R. Das Addressing End-to-End Memory Access Latency in NoC-Based Multicores. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Damien Hardy, Isidoros Sideris, Nikolas Ladas, Yiannakis Sazeides The Performance Vulnerability of Architectural and Non-architectural Arrays to Permanent Faults. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Rustam Miftakhutdinov, Eiman Ebrahimi, Yale N. Patt Predicting Performance Impact of DVFS for Realistic Memory Systems. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Jaewoong Sim, Gabriel H. Loh, Hyesoon Kim, Mike O'Connor, Mithuna Thottethodi A Mostly-Clean DRAM Cache for Effective Hit Speculation and Self-Balancing Dispatch. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Rami Sheikh, James Tuck 0001, Eric Rotenberg Control-Flow Decoupling. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Timothy Hayes 0001, Oscar Palomar, Osman S. Unsal, Adrián Cristal, Mateo Valero Vector Extensions for Decision Support DBMS Acceleration. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Ramon Bertran, Alper Buyuktosunoglu, Meeta Sharma Gupta, Marc González 0001, Pradip Bose Systematic Energy Characterization of CMP/SMT Processor Systems via Automated Micro-Benchmarks. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Andreas Prodromou, Andreas Panteli, Chrysostomos Nicopoulos, Yiannakis Sazeides NoCAlert: An On-Line and Real-Time Fault Detection Mechanism for Network-on-Chip Architectures. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Moinuddin K. Qureshi, Gabriel H. Loh Fundamental Latency Trade-off in Architecting DRAM Caches: Outperforming Impractical SRAM-Tags with a Simple and Practical Design. Search on Bibsonomy MICRO The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
17Yale N. Patt HPS Microarchitecture. Search on Bibsonomy Encyclopedia of Parallel Computing The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Josef Weidendorfer Intel Core Microarchitecture, x86 Processor Family. Search on Bibsonomy Encyclopedia of Parallel Computing The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Juinn-Dar Huang, Chia-I Chen, Yen-Ting Lin, Wan-Ling Hsu Communication Synthesis for Interconnect Minimization Targeting Distributed Register-File Microarchitecture. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Nan Zhang Resolving a L2-prefetch-caused parallel nonscaling on Intel Core microarchitecture. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Tushar N. K. Jain, Mukund Ramakrishna, Paul V. Gratz, Alexander Sprintson, Gwan Choi Asynchronous Bypass Channels for Multi-Synchronous NoCs: A Router Microarchitecture, Topology, and Routing Algorithm. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Efi Rotem, Alon Naveh, Doron Rajwan, Avinash Ananthakrishnan, Eliezer Weissmann Power management architecture of the 2nd generation Intel® Core microarchitecture, formerly codenamed Sandy Bridge. Search on Bibsonomy Hot Chips Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Chia-I Chen, Juinn-Dar Huang Architectural Synthesis Frameworks on Distributed Register-File Microarchitecture Family. Search on Bibsonomy ISVLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Henry Wong, Vaughn Betz, Jonathan Rose Comparing FPGA vs. custom cmos and the impact on processor microarchitecture. Search on Bibsonomy FPGA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Carlo Galuzzi, Luigi Carro, Andreas Moshovos, Milos Prvulovic (eds.) 44rd Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2011, Porto Alegre, Brazil, December 3-7, 2011 Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  BibTeX  RDF
17Hans M. Jacobson, Alper Buyuktosunoglu, Pradip Bose, Emrah Acar, Richard J. Eickemeyer Abstraction and microarchitecture scaling in early-stage power modeling. Search on Bibsonomy HPCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17David Raymond Lutz Fused Multiply-Add Microarchitecture Comprising Separate Early-Normalizing Multiply and Add Pipelines. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ralf König 0001, Timo Stripf, Jan Heisswolf, Jürgen Becker 0001 A Scalable Microarchitecture Design that Enables Dynamic Code Execution for Variable-Issue Clustered Processors. Search on Bibsonomy IPDPS Workshops The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ryuichi Takahashi, Yoshiyasu Takefuji SystemVerilog assertion for microarchitecture education considering situated nature of learning: A senior project. Search on Bibsonomy MSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Guilherme Ottoni, Gautham N. Chinya, Gerolf Hoflehner, Jamison D. Collins, Amit Kumar, Ethan Schuchman, David R. Ditzel, Ronak Singhal, Hong Wang 0003 AstroLIT: enabling simulation-based microarchitecture comparison between Intel® and Transmeta designs. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jingweijia Tan, Nilanjan Goswami, Tao Li 0006, Xin Fu Analyzing soft-error vulnerability on GPGPU microarchitecture. Search on Bibsonomy IISWC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yuhao Zhu 0001, Yangdong Deng, Yubei Chen Hermes: an integrated CPU/GPU microarchitecture for IP routing. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ziyad Hanna A symbolic execution framework for algorithm-level modelling and verification of computer microarchitecture. Search on Bibsonomy 2011   RDF
17Gilles Pokam, Cristiano Pereira, Shiliang Hu, Ali-Reza Adl-Tabatabai, Justin Emile Gottschlich, Jungwoo Ha, Youfeng Wu CoreRacer: a practical memory race recorder for multicore x86 TSO processors. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Dimitris Kaseridis, Jeffrey Stuecheli, Lizy Kurian John Minimalist open-page: a DRAM page-mode scheduling policy for the many-core era. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Veynu Narasiman, Michael Shebanow, Chang Joo Lee, Rustam Miftakhutdinov, Onur Mutlu, Yale N. Patt Improving GPU performance via large warps and two-level warp scheduling. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jesse G. Beu, Michel C. Rosier, Thomas M. Conte Manager-client pairing: a framework for implementing coherence hierarchies. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yan Pan, John Kim, Gokhan Memik FeatherWeight: low-cost optical arbitration with QoS support. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Marc de Kruijf, Karthikeyan Sankaralingam Idempotent processor architecture. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Wilson W. L. Fung, Inderpreet Singh, Andrew Brownsword, Tor M. Aamodt Hardware transactional memory for GPU architectures. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jiaqi Zhang, Weiwei Xiong, Yang Liu 0044, Soyeon Park, Yuanyuan Zhou 0001, Zhiqiang Ma ATDetector: improving the accuracy of a commercial data race detector by identifying address transfer. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Charles Lefurgy, Alan J. Drake, Michael S. Floyd, Malcolm Allen-Ware, Bishop Brock, José A. Tierno, John B. Carter Active management of timing guardband to save energy in POWER7. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Seongwook Jin, Jeongseob Ahn, Sanghoon Cha, Jaehyuk Huh 0001 Architectural support for secure virtualization under a vulnerable hypervisor. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Tushar Krishna, Li-Shiuan Peh, Bradford M. Beckmann, Steven K. Reinhardt Towards the ideal on-chip fabric for 1-to-many and many-to-1 communication. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mitchell Hayenga, Mikko H. Lipasti The NoX router. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Yuanrui Zhang, Wei Ding 0008, Mahmut T. Kandemir, Jun Liu 0008, Ohyoung Jang A data layout optimization framework for NUCA-based multicores. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Sai Prashanth Muralidhara, Lavanya Subramanian, Onur Mutlu, Mahmut T. Kandemir, Thomas Moscibroda Reducing memory interference in multicore systems via application-aware memory channel partitioning. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jing Xin, Russ Joseph Identifying and predicting timing-critical instructions to boost timing speculation. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Qing Guo 0004, Xiaochen Guo, Yuxin Bai, Engin Ipek A resistive TCAM accelerator for data-intensive computing. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Michael Ferdman, Cansu Kaynak, Babak Falsafi Proactive instruction fetch. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Carole-Jean Wu, Aamer Jaleel, William Hasenplaugh, Margaret Martonosi, Simon C. Steely Jr., Joel S. Emer SHiP: signature-based hit predictor for high performance caching. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ritesh Parikh, Valeria Bertacco Formally enhanced runtime verification to ensure NoC functional correctness. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Andrew W. Hay, Karin Strauss, Timothy Sherwood, Gabriel H. Loh, Doug Burger Preventing PCM banks from seizing too much power. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mark Gebhart, Stephen W. Keckler, William J. Dally A compile-time managed multi-level register file hierarchy. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Carole-Jean Wu, Aamer Jaleel, Margaret Martonosi, Simon C. Steely Jr., Joel S. Emer PACMan: prefetch-aware cache management for high performance caching. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Gabriel H. Loh, Mark D. Hill Efficiently enabling conventional block sizes for very large die-stacked DRAM caches. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Gregory Frederick Diamos, Benjamin Ashbaugh, Subramaniam Maiyuran, Andrew Kerr, Haicheng Wu, Sudhakar Yalamanchili SIMD re-convergence at thread frontiers. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ryan Cochran, Can Hankendi, Ayse K. Coskun, Sherief Reda Pack & Cap: adaptive DVFS and thread packing under power caps. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Moinuddin K. Qureshi Pay-As-You-Go: low-overhead hard-error correction for phase change memories. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Vignyan Reddy Kothinti Naresh, David J. Palframan, Mikko H. Lipasti CRAM: coded registers for amplified multiporting. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Zhenyu Sun 0001, Xiuyuan Bi, Hai (Helen) Li, Weng-Fai Wong, Zhong-Liang Ong, Xiaochun Zhu, Wenqing Wu Multi retention level STT-RAM cache designs with a dynamic refresh scheme. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Gabriel H. Loh A register-file approach for row buffer caches in die-stacked DRAMs. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Sheng Li 0007, Kevin T. Lim, Paolo Faraboschi, Jichuan Chang, Parthasarathy Ranganathan, Norman P. Jouppi System-level integrated server architectures for scale-out datacenters. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17André Seznec A new case for the TAGE branch predictor. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Eiman Ebrahimi, Rustam Miftakhutdinov, Chris Fallin, Chang Joo Lee, José A. Joao, Onur Mutlu, Yale N. Patt Parallel application memory scheduling. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ganesh Venkatesh, Jack Sampson, Nathan Goulding-Hotta, Sravanthi Kota Venkata, Michael Bedford Taylor, Steven Swanson QsCores: trading dark silicon for scalable energy efficiency with quasi-specific cores. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Shantanu Gupta, Shuguang Feng, Amin Ansari, Scott A. Mahlke, David I. August Bundled execution of recurring traces for energy-efficient general purpose processing. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Jason Mars, Lingjia Tang, Robert Hundt, Kevin Skadron, Mary Lou Soffa Bubble-Up: increasing utilization in modern warehouse scale computers via sensible co-locations. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Christopher Nitta, Matthew K. Farrens, Venkatesh Akella Resilient microring resonator based photonic networks. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Soontae Kim, Jongmin Lee 0002, Jesung Kim, Seokin Hong Residue cache: a low-energy low-area L2 cache architecture via compression and partial hits. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17George Michelogiannakis, Nan Jiang 0009, Daniel Becker 0003, William J. Dally Packet chaining: efficient single-cycle allocation for on-chip networks. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Nikos Foutris, Dimitris Gizopoulos, Mihalis Psarakis, Xavier Vera, Antonio González 0001 Accelerating microprocessor silicon validation by exposing ISA diversity. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Gagan Gupta, Gurindar S. Sohi Dataflow execution of sequential imperative programs on multicore architectures. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Konstantinos Aisopos, Li-Shiuan Peh A systematic methodology to develop resilient cache coherence protocols. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Shuguang Feng, Shantanu Gupta, Amin Ansari, Scott A. Mahlke, David I. August Encore: low-cost, fine-grained transient fault recovery. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Ahmed H. Abdel-Gawad, Mithuna Thottethodi TransCom: transforming stream communication for load balance and efficiency in networks-on-chip. Search on Bibsonomy MICRO The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #1301 - #1400 of 2639 (100 per page; Change: )
Pages: [<<][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][23][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license