The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DSD"( http://dblp.L3S.de/Venues/DSD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dsd

Publication years (Num. hits)
2001 (68) 2002 (54) 2003 (67) 2004 (85) 2005 (80) 2006 (95) 2007 (104) 2008 (124) 2009 (116) 2010 (112) 2011 (113) 2012 (137) 2013 (137) 2014 (102) 2015 (109) 2016 (103) 2017 (82) 2018 (108) 2019 (100) 2020 (103) 2021 (82) 2022 (124) 2023 (105)
Publication types (Num. hits)
inproceedings(2287) proceedings(23)
Venues (Conferences, Journals, ...)
DSD(2310)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 91 occurrences of 73 keywords

Results
Found 2310 publication records. Showing 2310 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Muhammad Awais 0004, Ashwani Singh, Emmanuel Boutillon, Guido Masera A Novel Architecture for Scalable, High Throughput, Multi-standard LDPC Decoder. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Tom Van Leeuwen 0002, Rene van Leuken 0001 A Scalable Distributed Asynchronous Control Network for High Level Synthesis of Digital Circuits. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ghazaleh Nazarian, Christos Strydis, Georgi Gaydadjiev Compatibility Study of Compile-Time Optimizations for Power and Reliability. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Farshad Firouzi, Amir Yazdanbakhsh, Hamed Dorosti, Sied Mehdi Fakhraie Dynamic Soft Error Hardening via Joint Body Biasing and Dynamic Voltage Scaling. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Paolo Maistri, Régis Leveugle 10-Gigabit Throughput and Low Area for a Hardware Implementation of the Advanced Encryption Standard. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Nicolas Roudel, François Berry, Jocelyn Sérot, Laurent Eck A New High-Level Methodology for Programming FPGA-Based Smart Camera. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Malek Naoues, Laurent Alaus, Dominique Noguet A Common Operator for FFT and Viterbi Algorithms. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Craig Moore, Wim Meeus, Harald Devos, Dirk Stroobandt A Parallel for Loop Memory Template for a High Level Synthesis Compiler. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Juan Núñez 0002, Maria J. Avedillo, José M. Quintana Evaluation of RTD-CMOS Logic Gates. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jaroslav Borecký, Martin Kohlík, Hana Kubátová, Pavel Kubalík Faults Coverage Improvement Based on Fault Simulation and Partial Duplication. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tim Kranich, Mladen Berekovic NoC Switch with Credit Based Guaranteed Service Support Qualified for GALS Systems. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kees Goossens, Dongrui She, Aleksandar Milutinovic, Anca Mariana Molnos Composable Dynamic Voltage and Frequency Scaling and Power Management for Dataflow Applications. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Deepak Kumar, Pankaj Kumar, Manisha Pattanaik Performance Analysis of 90nm Look Up Table (LUT) for Low Power Application. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Muhammad Adeel Pasha, Steven Derrien, Olivier Sentieys System Level Synthesis for Ultra Low-Power Wireless Sensor Nodes. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mahdi Fazeli, Seyed Ghassem Miremadi, Hossein Asadi 0001, Mehdi Baradaran Tahoori A Fast Analytical Approach to Multi-cycle Soft Error Rate Estimation of Sequential Circuits. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ana Bosque, Víctor Viñals, Pablo Ibáñez, José M. Llabería Filtering Directory Lookups in CMPs. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mohammad Salehi, Amirali Baniasadi Storage-Aware Value Prediction. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bibhash Sen, Anik Sengupta, Mamata Dalui, Biplab K. Sikdar Design of Testable Universal Logic Gate Targeting Minimum Wire-Crossings in QCA Logic Circuit. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zdenek Prikryl, Karel Masarík, Tomás Hruska, Adam Husár Generated Cycle-Accurate Profiler for C Language. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Son Truong Nguyen, Shigeru Oyanagi A Low Cost Single-Cycle Router Based on Virtual Output Queuing for On-chip Networks. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Antonio da Silva 0001, Sebastián Sánchez 0001 LEON3 ViP: A Virtual Platform with Fault Injection Capabilities. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Maurizio Palesi, Rickard Holsmark, Xiaohang Wang 0001, Shashi Kumar, Mei Yang, Yingtao Jiang, Vincenzo Catania An Efficient Technique for In-order Packet Delivery with Adaptive Routing Algorithms in Networks on Chip. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marcel D. van de Burgwal, Kenneth C. Rovers, Koen C. H. Blom, André B. J. Kokkeler, Gerard J. M. Smit Adaptive Beamforming Using the Reconfigurable MONTIUM TP. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Somayyeh Koohi, Alireza Shafaei, Shaahin Hessabi Scalable Architecture for Wavelength-Switched Optical NoC with Multicasting Capability. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christian Bachmann, Andreas Genser, Christian Steger, Reinhold Weiss, Josef Haid Automated Power Characterization for Run-Time Power Emulation of SoC Designs. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1José Luis Risco-Martín, José Manuel Colmenar, David Atienza, José Ignacio Hidalgo Simulation of High-Performance Memory Allocators. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nastaran Salehi, Ahmad Khadem Zadeh, Arash Dana Power Distribution in NoCs Through a Fuzzy Based Selection Strategy for Adaptive Routing. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Cor Meenderinck, Ben H. H. Juurlink A Case for Hardware Task Management Support for the StarSS Programming Model. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Luis A. Tarazona, Doug A. Edwards, Andrew Bardsley, Luis A. Plana Description-Level Optimisation of Synthesisable Asynchronous Circuits. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Elias Baaklini, Hassan Sbeity, Smaïl Niar, Nouhad Amaneddine H.264 Color Components Video Decoding Parallelization on Multi-core Processors. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Fernando Pescador, Eduardo Juárez Martínez, David Samper Martínez, César Sanz, Mickaël Raulet A Test Bench for Distortion-Energy Optimization of a DSP-Based H.264/SVC Decoder. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dan Hotoleanu, Octavian Cret, Alin Suciu, Tamas Györfi, Lucia Vacariu Real-Time Testing of True Random Number Generators Through Dynamic Reconfiguration. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Somsubhra Talapatra, Hafizur Rahaman 0001, Samir K. Saha Unified Digit Serial Systolic Montgomery Multiplication Architecture for Special Classes of Polynomials over GF(2m). Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Igor Lemberski, Petr Fiser Area and Speed Oriented Implementations of Asynchronous Logic Operating under Strong Constraints. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dennis Bode, Mladen Berekovic, Axel Borkowski, Ludger Buker QoR Analysis of Automated Clock-Mesh Implementation under OCV Consideration. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Phillip David Ferguson, Aristides Efthymiou, Tughrul Arslan, Danny Hume Optimising Self-Timed FPGA Circuits. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Stanislaw J. Piestrak On Reducing Error Rate of Data Protected Using Systematic Unordered Codes in Asymmetric Channels. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Muhammad Waqar Azhar, Tung Thanh Hoang, Per Larsson-Edefors Cyclic Redundancy Checking (CRC) Accelerator for the FlexCore Processor. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Barend van Liempd, Daniel Herrera, Miguel E. Figueroa An FPGA-Based Accelerator for Analog VLSI Artificial Neural Network Emulation. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yun Jie Wu, Dominique Houzet, Sylvain Huet A Programming Model and a NoC-Based Architecture for Streaming Applications. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Antoni Roca 0001, José Flich, Federico Silla, José Duato A Latency-Efficient Router Architecture for CMP Systems. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jian Wang 0035, Joar Sohl, Olof Kraigher, Dake Liu Software Programmable Data Allocation in Multi-bank Memory of SIMD Processors. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Andrea Marongiu, Paolo Burgio, Luca Benini Evaluating OpenMP Support Costs on MPSoCs. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Makoto Sugihara Dynamic Control Flow Checking Technique for Reliable Microprocessors. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yusuf Adibelli, Mustafa Parlak, Ilker Hamzaoglu A Computation and Power Reduction Technique for H.264 Intra Prediction. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tsutomu Sasao On the Numbers of Variables to Represent Multi-valued Incompletely Specified Functions. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sonia López, Oscar Garnica, David H. Albonesi, Steven G. Dropsho, Juan Lanchares, José Ignacio Hidalgo Adaptive Cache Memories for SMT Processors. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Imtiaz Sajid, Sotirios G. Ziavras, Muhammad Mansoor Ahmed Hardware-Based Speed Up of Face Recognition Towards Real-Time Performance. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Pedro Suárez-Casal, Angel Carro-Lagoa, José Antonio García-Naya, Luis Castedo A Multicore SDR Architecture for Reconfigurable WiMAX Downlink. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Saad Mubeen, Shashi Kumar Designing Efficient Source Routing for Mesh Topology Network on Chip Platforms. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bin Wu, Guido Masera A Novel VLSI Architecture of Fixed-Complexity Sphere Decoder. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Félix Moreno, Ignacio López, Ricardo Sanz A Design Process for Hardware/Software System Co-design and its Application to Designing a Reconfigurable FPGA. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1J. F. Tarillo, Nikolaos Mavrogiannakis, Carlos Arthur Lang Lisbôa, Costas Argyrides, Luigi Carro Multiple Bit Error Detection and Correction in Memory. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yi He 0008, Ju Ren 0002, Mei Wen, Qianming Yang, Nan Wu 0003, Chunyuan Zhang Software Managed Instruction Scratchpad Memory Optimization in Stream Architecture Based on Hot Code Analysis of Kernels. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Martin Rozkovec, Jiri Jenícek, Ondrej Novák Application Dependent FPGA Testing Method. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Franco Fummi, Giovanni Perbellini, Davide Quaglia, R. Trenti Exploration of Network Alternatives for Middleware-centric Embedded System Design. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rubén Salvador, Félix Moreno, Teresa Riesgo, Lukás Sekanina High Level Validation of an Optimization Algorithm for the Implementation of Adaptive Wavelet Transforms in FPGAs. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Robert Hartl, Andreas J. Rohatschek, Walter Stechele, Andreas Herkersdorf Architectural Vulnerability Factor Estimation with Backwards Analysis. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marcus Jeitler, Jakob Lechner Low Latency Recovery from Transient Faults for Pipelined Processor Architectures. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christiaan Baaij, Matthijs Kooijman, Jan Kuper, Arjan Boeijink, Marco Gerards C?aSH: Structural Descriptions of Synchronous Hardware Using Haskell. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sander Stuijk, Marc Geilen, Twan Basten A Predictable Multiprocessor Design Flow for Streaming Applications with Dynamic Behaviour. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Giovanni Danese, Mauro Giachero, Francesco Leporati, Nelson Nazzicari A Multicore Embedded Processor for Fingerprint Recognition. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1George Kornaros, Antonios Motakis On Scaling Speedup with Coarse-Grain Coprocessor Accelerators on Reconfigurable Platforms. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tobias Lange, Naim Harb, Haisheng Liu, Smaïl Niar, Rabie Ben Atitallah An Improved Automotive Multiple Target Tracking System Design. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sameer D. Sahasrabuddhe, Sreenivas Subramanian, Kunal P. Ghosh, Kavi Arya, Madhav P. Desai A C-to-RTL Flow as an Energy Efficient Alternative to Embedded Processors in Digital Systems. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ismael Gómez, Massimo Camatel, Jordi Bracke, Vuk Marojevic, Antoni Gelonch, Fabrizio Vacca, Guido Masera ALOE-Based Flexible LDPC Decoder. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ronaldo Rodrigues Ferreira, Álvaro Freitas Moreira, Luigi Carro System Level Hardening by Computing with Matrices. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Enrique Barajas, Diego Mateo, José Luis González 0001 Behavioural Modelling of DLLs for Fast Simulation and Optimisation of Jitter and Power Consumption. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dmitri Mironov, Raimund Ubar, Sergei Devadze, Jaan Raik, Artur Jutman Structurally Synthesized Multiple Input BDDs for Speeding Up Logic-Level Simulation of Digital Circuits. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Andrés Otero, Angel Morales-Cas, Jorge Portilla, Eduardo de la Torre, Teresa Riesgo A Modular Peripheral to Support Self-Reconfiguration in SoCs. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Adolfo Recio, Peter M. Athanas Physical Layer for Spectrum-Aware Reconfigurable OFDM on an FPGA. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Martin Straka, Jan Kastil, Zdenek Kotásek Fault Tolerant Structure for SRAM-Based FPGA via Partial Dynamic Reconfiguration. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Toktam Taghavi, Andy D. Pimentel Visualization of Multi-objective Design Space Exploration for Embedded Systems. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Xiao Zhang 0002, Hans G. Kerkhoff, Bart Vermeulen On-chip Scan-Based Test Strategy for a Dependable Many-Core Processor Using a NoC as a Test Access Mechanism. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jing Cao, Albert Nymeyer A Markov Model for Low-Power High-Fidelity Design-Space Exploration. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Claas Cornelius, Philipp Gorski, Stephan Kubisch, Dirk Timmermann Trading Hardware Overhead for Communication Performance in Mesh-Type Topologies. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Palanichamy Manikandan, Bjørn B. Larsen, Einar J. Aas Path-Delay Fault Testing in Embedded Content Addressable Memories. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Paris Kitsos, Nicolas Sklavos 0001, Athanassios N. Skodras Low Power FPGA Implementations of 256-bit Luffa Hash Function. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Basher Shehan, Ralf Jahr, Sascha Uhrig, Theo Ungerer Reconfigurable Grid Alu Processor: Optimization and Design Space Exploration. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Felipe Lavratti, Alex R. Pinto, Letícia Maria Veiras Bolzani, Fabian Vargas 0001, Carlos Barros Montez, Fernando Hernandez, Edmundo Gatti, C. Silva Evaluating a Transmission Power Self-Optimization Technique for WSN in EMI Environments. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ye Gao, Ryusuke Egawa, Hiroyuki Takizawa, Hiroaki Kobayashi A Load-Forwarding Mechanism for the Vector Architecture in Multimedia Applications. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jan Balach, Ondrej Novák Reconfigurable Fault-Tolerant System Sychronization. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Lara G. Villanueva, Gustavo Marrero Callicó, Félix Tobajas, Sebastián López, Valentin de Armas, José Francisco López, Roberto Sarmiento Medical Diagnosis Improvement Through Image Quality Enhancement Based on Super-Resolution. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Miguel Lino Silva, João Canas Ferreira Creation of Partial FPGA Configurations at Run-Time. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vrishali Vijay Nimbalkar, Kuruvilla Varghese In-channel Flow Control Scheme for Network-on-Chip. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Alice M. Tokarnia, Marina Tachibana Design of Trace-Based Split Array Caches for Embedded Applications. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shohreh Sharif Mansouri, Elena Dubrova An Improved Hardware Implementation of the Grain Stream Cipher. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ricardo Severino, Manish Batsa, Mário Alves, Anis Koubaa A Traffic Differentiation Add-On to the IEEE 802.15.4 Protocol: Implementation and Experimental Validation over a Real-Time Operating system. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mostafa E. Salehi, Hamed Dorosti, Sied Mehdi Fakhraie Architecture-Level Design Space Exploration of Super Scalar Microarchitecture for Network Applications. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zhufei Chu, Yinshui Xia, William N. N. Hung, Lun-Yao Wang, Xiaoyu Song A Memetic Approach for Nanoscale Hybrid Circuit Cell Mapping. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Stefan Frehse, Görschwin Fey, André Sülflow, Rolf Drechsler RobuCheck: A Robustness Checker for Digital Circuits. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ahmad Patooghy, Hamed Tabkhi, Seyed Ghassem Miremadi An Efficient Method to Reliable Data Transmission in Network-on-Chips. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Julio Dondo, Fernando Rincón, Jesús Barba, Francisco Moya, Francisco Sánchez, Juan Carlos López 0001 Persistence Management Model for Dynamically Reconfigurable Hardware. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Majd Ghazi Batarseh, Ehab Shobaki, Xiang Fang, Haibing Hu, Issa Batarseh New Digital Control Technique for Improving Transient Response in DC - DC Converters. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Richard Ruzicka Gracefully Degrading Circuit Controllers Based on Polytronics. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dimitris Bakalis, Haridimos T. Vergos Area-Efficient Multi-moduli Squarers for RNS. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jiri Balcarek, Petr Fiser, Jan Schmidt Test Patterns Compression Technique Based on a Dedicated SAT-Based ATPG. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tingcong Ye, Dilip P. Vasudevan, Jiaoyan Chen, Emanuel M. Popovici, Michel P. Schellekens Static Average Case Power Estimation Technique for Block Ciphers. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Frank Reichenbach, Alexander Wold Multi-core Technology -- Next Evolution Step in Safety Critical Systems for Industrial Applications? Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Pedro Miguens Matutino, Ricardo Chaves, Leonel Sousa Arithmetic Units for RNS Moduli {2n-3} and {2n+3} Operations. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
Displaying result #1401 - #1500 of 2310 (100 per page; Change: )
Pages: [<<][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][23][24][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license