The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DSD"( http://dblp.L3S.de/Venues/DSD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dsd

Publication years (Num. hits)
2001 (68) 2002 (54) 2003 (67) 2004 (85) 2005 (80) 2006 (95) 2007 (104) 2008 (124) 2009 (116) 2010 (112) 2011 (113) 2012 (137) 2013 (137) 2014 (102) 2015 (109) 2016 (103) 2017 (82) 2018 (108) 2019 (100) 2020 (103) 2021 (82) 2022 (124) 2023 (105)
Publication types (Num. hits)
inproceedings(2287) proceedings(23)
Venues (Conferences, Journals, ...)
DSD(2310)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 91 occurrences of 73 keywords

Results
Found 2310 publication records. Showing 2310 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Luca Amati, Cristiana Bolchini, Fabio Salice, Federico Franzoso A Formal Condition to Stop an Incremental Automatic Functional Diagnosis. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marta Stepniewska, Adam Luczak, Jakub Siast Network-on-Multi-Chip (NoMC) for Multi-FPGA Multimedia Systems. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zdenek Kotásek, Jaroslav Skarvada, Josef Strnadel The Use of Genetic Algorithm to Derive Correlation Between Test Vector and Scan Register Sequences and Reduce Power Consumption. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Pierfrancesco Foglia, Cosimo Antonio Prete, Marco Solinas, Giovanna Monni Re-NUCA: Boosting CMP Performance Through Block Replication. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Andrea Castagnetti, Cécile Belleudy, Sébastien Bilavarn, Michel Auguin Power Consumption Modeling for DVFS Exploitation. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ozgur Tasdizen, Ilker Hamzaoglu Computation Reduction Techniques for Vector Median Filtering and their Hardware Implementation. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Allen Chen, Ryan Hoppal, Tom Chen 0001 On CMOS Memory Design in Low Supply Voltage for Integrated Biosensor Applications. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Levent Aksoy, Eduardo Costa 0001, Paulo F. Flores, José Monteiro 0001 Optimization of Area and Delay at Gate-Level in Multiple Constant Multiplications. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1René Kothe, Heinrich Theodor Vierhaus Test Data and Power Reductions for Transition Delay Tests for Massive-Parallel Scan Structures. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sana Cherif, Imran Rafiq Quadri, Samy Meftali, Jean-Luc Dekeyser Modeling Reconfigurable Systems-on-Chips with UML MARTE Profile: An Exploratory Analysis. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Subayal Khan, Kari Tiensyrjä, Jari Nurmi Instantiating GENESYS Application Architecture Modeling via UML 2.0 Constructs and MARTE Profile. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jochem H. Rutgers, Pascal T. Wolkotte, Philip K. F. Hölzenspies, Jan Kuper, Gerard J. M. Smit An Approximate Maximum Common Subgraph Algorithm for Large Digital Circuits. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tim Todman, Qiang Liu 0011, Wayne Luk, George A. Constantinides Customizable Composition and Parameterization of Hardware Design Transformations. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Masaru Takesue A Class of Recursive Networks on a Chip for Enhancing Intercluster Parallelism. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Florent Berthelot, François Charot, Charles Wagner, Christophe Wolinski Design Methodology for a High Performance Robust DVB-S2 Decoder Implementation. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sebastián López (eds.) 13th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, DSD 2010, 1-3 September 2010, Lille, France Search on Bibsonomy DSD The full citation details ... 2010 DBLP  BibTeX  RDF
1Hiroki Nakahara, Tsutomu Sasao, Munehiro Matsuura A Packet Classifier Using a Parallel Branching Program Machine. Search on Bibsonomy DSD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Roberto R. Osorio, Cesar Diaz-Resco, Javier D. Bruguera High Performance Image Processing on a Massively Parallel Processor Array. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Luis A. Tarazona, Doug A. Edwards, Luis A. Plana A Synthesisable Quasi-Delay Insensitive Result Forwarding Unit for an Asynchronous Processor. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Stefan Frehse, Görschwin Fey, André Sülflow, Rolf Drechsler Robustness Check for Multiple Faults Using Formal Techniques. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Nikolas Kroupis, Praveen Raghavan, Murali Jayapala, Francky Catthoor, Dimitrios Soudris Compilation Technique for Loop Overhead Minimization. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Tobias Koal, Heinrich Theodor Vierhaus, Daniel Scheit A Concept for Logic Self Repair. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Adam Pawlak, Piotr Penkala, Pawel Fras, Wojciech Sakowski, Günter Grau, Szymon Grzybek, Alexander Stanitzki Distributed Collaborative Design of a Mixed-Signal IP Component. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Pierfrancesco Foglia, Francesco Panicucci, Cosimo Antonio Prete, Marco Solinas An Evaluation of Behaviors of S-NUCA CMPs Running Scientific Workload. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sandro Penolazzi, Luca Bolognino, Ahmed Hemani Energy and Performance Model of a SPARC Leon3 Processor. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Giovanni Danese, Mauro Giachero, Francesco Leporati, Giulia Matrone, Nelson Nazzicari An FPGA-Based Embedded System for Fingerprint Matching Using Phase-Only Correlation Algorithm. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Raimund Ubar, Sergei Kostin, Jaan Raik Block-Level Fault Model-Free Debug and Diagnosis in Digital Systems. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jose Carlos Garcia-Montesdeoca, Juan A. Montiel-Nelson, Saeid Nooshabadi High Performance CMOS 2-input NAND Based on Low-race Split-level Charge-recycling Pass-transistor Logic. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Alexander Wei Yin, Liang Guang, Ethiopia Nigussie, Pasi Liljeberg, Jouni Isoaho, Hannu Tenhunen Architectural Exploration of Per-Core DVFS for Energy-Constrained On-Chip Networks. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Evangelos Vassalos, Dimitris Bakalis Combined SD-RNS Constant Multiplication. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ioannis Kouretas, Vassilis Paliouras Variation-tolerant Design Using Residue Number System. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Damien Hedde, Pierre-Henri Horrein, Frédéric Pétrot, Robin Rolland, Franck Rousseau A MPSoC Prototyping Platform for Flexible Radio Applications. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jan Schmidt, Petr Fiser The Case for a Balanced Decomposition Process. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ahmed Mohamed AbdelHamid, Ankur Anchlia, Stylianos Mamagkakis, Miguel Corbalan Miranda, Bart Dierickx, Maarten Kuijk A Standardized Knobs and Monitors RTL2RTL Insertion Methodology for Fine Grain SoC Tuning. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Brian Baldwin, Andrew Byrne, Mark Hamilton, Neil Hanley, Robert P. McEvoy, Weibo Pan, William P. Marnane FPGA Implementations of SHA-3 Candidates: CubeHash, Grostl, LANE, Shabal and Spectral Hash. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Anca Mariana Molnos, Kees Goossens Conservative Dynamic Energy Management for Real-Time Dataflow Applications Mapped on Multiple Processors. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Zheng Shen, Hu He 0001, Yihe Sun Simultaneous Multithreading VLIW DSP Architecture with Dynamic Dispatch Mechanism. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jaroslav Borecký, Pavel Kubalík, Hana Kubátová Reliable Railway Station System Based on Regular Structure Implemented in FPGA. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Li Tong, Zhonghai Lu, Hua Zhang Exploration of Slot Allocation for On-Chip TDM Virtual Circuits. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Petr Mikusek, Václav Dvorák Heuristic Synthesis of Multi-Terminal BDDs Based on Local Width/Cost Minimization. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Marco Gerards, Jan Kuper, André B. J. Kokkeler, Bert Molenkamp Streaming Reduction Circuit. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Usha Sandeep Mehta, Kankar S. Dasgupta, Nirnjan M. Devashrayee Survey of Test Data Compression Technique Emphasizing Code Based Schemes. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Franco Fummi, Davide Quaglia, Francesco Stefanni Time-Varying Network Fault Model for the Design of Dependable Networked Embedded Systems. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Vincenzo Catania, Alessandro G. Di Nuovo, Maurizio Palesi, Davide Patti, Gianmarco De Francisci Morales An Effective Methodology to Multi-objective Design of Application Domain-specific Embedded Architectures. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Julien Lallet, Sébastien Pillement, Olivier Sentieys xMAML: A Modeling Language for Dynamically Reconfigurable Architectures. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Victor Silva 0001, Luís Bica Oliveira, Jorge R. Fernandes, Mário P. Véstias, Horácio C. Neto Run-Time Reconfigurable Array Using Magnetic RAM. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jochen Strunk, Toni Volkmer, Wolfgang Rehm, Heiko Schick An on Chip Network inside a FPGA for Run-Time Reconfigurable Low Latency Grid Communication. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Amin El Mrabti, Hamed Sheibanyrad, Frédéric Rousseau 0001, Frédéric Pétrot, Romain Lemaire, Jérôme Martin Abstract Description of System Application and Hardware Architecture for Hardware/Software Code Generation. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Petr Fiser, David Toman 0002 A Fast SOP Minimizer for Logic Funcions Described by Many Product Terms. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Andreas Lankes, Thomas Wild, Andreas Herkersdorf Hierarchical NoCs for Optimized Access to Shared Memory and IO Resources. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Fabrizio Vacca, Guido Masera, Hazem Moussa, Amer Baghdadi, Michel Jézéquel Flexible Architectures for LDPC Decoders Based on Network on Chip Paradigm. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1David Guzmán, Manuel Prieto 0003, Daniel Garcia, Victor Ruiz, Javier Almena, Sebastián Sánchez-Prieto, Daniel Meziat High Reliable Remote Terminal Unit for Space Applications. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Adolf Samir Abdallah, Abdoulaye Gamatié, Jean-Luc Dekeyser Model-Driven Design of Embedded Multimedia Applications on SoCs. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Raj Kumar Nagpal, Rakesh Malik, Jai Narayan Tripathi Signal Integrity and Power Integrity Methodology for Robust Analysis of On-the-Board System for High Speed Serial Links. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Fabien Clermidy, Romain Lemaire, Xavier Popon, Dimitri Ktenas, Yvain Thonnart An Open and Reconfigurable Platform for 4G Telecommunication: Concepts and Application. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jose Carlos Garcia-Montesdeoca, Juan A. Montiel-Nelson, Saeid Nooshabadi, Javier Sosa, Héctor Navarro Bootstrapped Adiabatic Complementary Pass-Transistor Logic Driver Circuit for Large Capacitive Load and Low-energy Applications. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Nikos Petrellis, Michael K. Birbas, John C. Kikidis, Alexios N. Birbas Calibration Method for a CMOS 0.06mm2 150MS/s 8-bit ADC. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Apostolos P. Fournaris, Odysseas G. Koufopavlou One Dimensional Systolic Inversion Architecture Based on Modified GF(2^k) Extended Euclidean Algorithm. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Arturo Diaz-Perez, Mario Alberto Garcia Martinez FPGA Accelerator for RNA Secondary Structure Prediction. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Michael Birner, Thomas Handl ARROW - A Generic Hardware Fault Injection Tool for NoCs. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Oana Boncalo, Alexandru Amaricai Reliability Analysis of Qubit Data Movement for Distributed Quantum Computation. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Shadi Traboulsi, Michael Meitinger, Rainer Ohlendorf, Andreas Herkersdorf An Efficient Hardware Architecture for Packet Re-sequencing in Network Processors MPSoCs. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Christophe Wolinski, Krzysztof Kuchcinski, Erwan Raffin, François Charot Architecture-Driven Synthesis of Reconfigurable Cells. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Anna Bernasconi 0001, Valentina Ciriani, Gabriella Trucco, Tiziano Villa Logic Minimization and Testability of 2SPP-P-Circuits. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mohammad Fattah, Soodeh Aghli Moghaddam, Siamak Mohammadi A Hazard-Free Delay-Insensitive 4-phase On-Chip Link Using MVCM Signaling. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Zhiyuan He 0002, Zebo Peng, Petru Eles Thermal-Aware Test Scheduling for Core-Based SoC in an Abort-on-First-Fail Test Environment. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1José Carlos Alves, Nuno Alexandre Cruz An FPGA-Based Embedded System for a Sailing Robot. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Thomas Tsiolakis, Nikos Konofaos, George Alexiou Design, Simulation and Performance Evaluation of a NAND Based Single-electron 2-4 Decoder. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Kees Goossens, Lotfi Mhamdi, Iria Varela Senin Internet-Router Buffered Crossbars Based on Networks on Chip. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Feng Liu 0029, Fariborz Fereydouni-Forouzandeh, Otmane Aït Mohamed, Gang Chen 0004, Xiaoyu Song, QingPing Tan A Comparative Study of Parallel Prefix Adders in FPGA Implementation of EAC. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Milan Nenad Simic, Randeep Singh, Louis Doukas, Aliakbar Akbarzadeh Remote Monitoring of Thermal Performance of Salinity Gradient Solar Ponds. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Tobias Koal, Daniel Scheit, Heinrich Theodor Vierhaus Reliability Estimation Process. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Jose Carlos Garcia-Montesdeoca, Juan A. Montiel-Nelson, Saeid Nooshabadi High Performance Bootstrapped CMOS Dual Supply Level Shifter for 0.5V Input and 1V Output. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Andrea Ricci, Ilaria De Munari, Paolo Ciampolini Performance-Effective Compaction of Standard-Cell Libraries for Digital Design. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Mengxiao Liu, Weixing Ji, Jiaxin Li, Xing Pu Storage Architecture for an On-chip Multi-core Processor. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Eric Senn, David Monnereau, André Rossi, Nathalie Julien Using Integer Linear Programming in Test-bench Generation for Evaluating Communication Processors. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Martin Straka, Zdenek Kotásek High Availability Fault Tolerant Architectures Implemented into FPGAs. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Maurizio Palesi, Fabrizio Fazzino, Giuseppe Ascia, Vincenzo Catania Data Encoding for Low-Power in Wormhole-Switched Networks-on-Chip. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Antonio Núñez, Pedro P. Carballo (eds.) 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, DSD 2009, 27-29 August 2009, Patras, Greece Search on Bibsonomy DSD The full citation details ... 2009 DBLP  BibTeX  RDF
1Dominique Nussbaum, Karim Khalfallah, Christophe Moy, Amor Nafkha, Pierre Leray, Julien Delorme, Jacques Palicot, Jérôme Martin, Fabien Clermidy, Bertrand Mercier, Renaud Pacalet Open Platform for Prototyping of Advanced Software Defined Radio and Cognitive Radio Techniques. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Robert Czerwinski, Dariusz Kania CPLD-oriented Synthesis of Finite State Machines. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Carlo Brandolese, William Fornaciari A Framework for Compile-time and Run-time Management of Non-functional Aspects in WSNs Nodes. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Abdulkadir Akin, Yigit Dogan, Ilker Hamzaoglu A High Performance Hardware Architecture for One Bit Transform Based Motion Estimation. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Meysam Taassori, Shaahin Hessabi Low Power Encoding in NoCs Based on Coupling Transition Avoidance. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Antonio da Silva 0001, Sebastián Sánchez 0001 Transactions Sequence Tracking by means of Dynamic Binary Instrumentation of TLM Models. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Alexandre Solon Nery, Nadia Nedjah, Felipe Maia Galvão França GridRT: A Massively Parallel Architecture for Ray-Tracing Using Uniform Grids. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Alexandru Amaricai, Oana Boncalo Improving the Performance of the Divide-Add Fused Operation Using Variable Latency Quotient Generation. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1D. Michael Miller, Robert Wille, Gerhard W. Dueck Synthesizing Reversible Circuits for Irreversible Functions. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hiroki Nakahara, Tsutomu Sasao, Munehiro Matsuura, Yoshifumi Kawamura The Parallel Sieve Method for a Virus Scanning Engine. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ming-Yan Yu, Ming Li, Jun-Jie Song, Fang-Fa Fu, Yu-Xin Bai Pipelining-Based High Throughput Low Energy Mapping on Network-on-Chip. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Amit Kumar Singh 0002, Wu Jigang, Alok Prakash, Thambipillai Srikanthan Mapping Algorithms for NoC-Based Heterogeneous MPSoC Platforms. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Peter Tummeltshammer, Andreas Steininger On the Risk of Fault Coupling over the Chip Substrate. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yoshiyasu Ogasawara, Hironori Nakajo An Effective Replacement Strategy of Cache Memory for an SMT Processor. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Daniel Piso Fernandez, Javier D. Bruguera Variable Latency Rounding for Golschmidt Algorithm with Parallel Remainder Estimation. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Xiao Zhang 0002, Hans G. Kerkhoff Design of a Highly Dependable Beamforming Chip. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Ayse K. Coskun, Andrew B. Kahng, Tajana Simunic Rosing Temperature- and Cost-Aware Design of 3D Multiprocessor Architectures. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Hamid Reza Ahmadi, Ali Afzali-Kusha Low-Power Low-Energy Prime-Field ECC Processor Based on Montgomery Modular Inverse Algorithm. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Naser MohammadZadeh, Morteza Saheb Zamani, Mehdi Sedighi Improving Latency of Quantum Circuits by Gate Exchanging. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Werner Friesenbichler, Andreas Steininger Soft Error Tolerant Asynchronous Circuits Based on Dual Redundant Four State Logic. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Benny Akesson, Andreas Hansson 0001, Kees Goossens Composable Resource Sharing Based on Latency-Rate Servers. Search on Bibsonomy DSD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #1501 - #1600 of 2310 (100 per page; Change: )
Pages: [<<][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][23][24][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license