|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 863 occurrences of 423 keywords
|
|
|
Results
Found 1074 publication records. Showing 1074 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
35 | Claudia Rusu, Cristian Grecu, Lorena Anghel |
Improving the scalability of checkpoint recovery for networks-on-chip. |
ISCAS |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Fatiha Bouabache, Thomas Hérault, Gilles Fedak, Franck Cappello |
Hierarchical replication techniques to ensure checkpoint storage reliability in grid environment. |
AICCSA |
2008 |
DBLP DOI BibTeX RDF |
|
35 | Nam Thoai, Doan Viet Hung |
Checkpoint and Recovery for Parallel Applications with Dynamic Number of Processes. |
ISPDC |
2007 |
DBLP DOI BibTeX RDF |
|
35 | Gengbin Zheng, Chao Huang 0029, Laxmikant V. Kalé |
Performance evaluation of automatic checkpoint-based fault tolerance for AMPI and Charm++. |
ACM SIGOPS Oper. Syst. Rev. |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Qi Gao 0004, Weikuan Yu, Wei Huang 0003, Dhabaleswar K. Panda 0001 |
Application-Transparent Checkpoint/Restart for MPI Programs over InfiniBand. |
ICPP |
2006 |
DBLP DOI BibTeX RDF |
|
35 | Naoki Kobayashi 0005, Tadashi Dohi |
Bayesian Perspective of Optimal Checkpoint Placement. |
HASE |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Andreas Moshovos, Alexandros Kostopoulos |
Memory State Compressors for Giga-Scale Checkpoint/Restore. |
IEEE PACT |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Geoffroy Vallée, Renaud Lottiaux, David Margery, Christine Morin |
Ghost Process: a Sound Basis to Implement Process Duplication, Migration and Checkpoint/Restart in Linux Clusters. |
ISPDC |
2005 |
DBLP DOI BibTeX RDF |
process virtualization, distributed system, operating system, Linux cluster, single system image |
35 | Jinjun Chen, Yun Yang 0001 |
A Minimum Proportional Time Redundancy based Checkpoint Selection Strategy for Dynamic Verification of Fixed-time Constraints in Grid Workflow Systems. |
APSEC |
2005 |
DBLP DOI BibTeX RDF |
|
35 | José Carlos Sancho, Fabrizio Petrini, Kei Davis, Roberto Gioiosa, Song Jiang 0001 |
Current Practice and a Direction Forward in Checkpoint/Restart Implementations for Fault Tolerance. |
IPDPS |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Daniel Marques, Greg Bronevetsky, Rohit Fernandes, Keshav Pingali, Paul Stodghill |
Optimizing Checkpoint Sizes in the C3 System. |
IPDPS |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Yudan Liu, Chokchai Leangsuksun, Hertong Song, Stephen L. Scott |
Reliability-aware Checkpoint/Restart Scheme: A Performability Trade-off. |
CLUSTER |
2005 |
DBLP DOI BibTeX RDF |
|
35 | Youhui Zhang, Dongsheng Wang 0002, Weimin Zheng |
Parallel Checkpoint/Recovery on Cluster of IA-64 Computers. |
ISPA |
2004 |
DBLP DOI BibTeX RDF |
|
35 | Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan |
Checkpoint Processing and Recovery: Towards Scalable Large Instruction Window Processors. |
MICRO |
2003 |
DBLP DOI BibTeX RDF |
|
35 | Francesco Quaglia |
A Cost Model for Selecting Checkpoint Positions in Time Warp Parallel Simulation. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
checkpointing, cost models, performance optimization, time warp, rollback-recovery, Parallel discrete-event simulation, optimistic synchronization |
35 | Yoshinori Morita, Hiroaki Higaki |
Checkpoint-Recovery for Mobile Computing Systems. |
ICDCS Workshops |
2001 |
DBLP DOI BibTeX RDF |
|
35 | Yi-Min Wang, Pi-Yu Chung, In-Jen Lin, W. Kent Fuchs |
Checkpoint Space Reclamation for Uncoordinated Checkpointing in Message-Passing Systems.. |
IEEE Trans. Parallel Distributed Syst. |
1995 |
DBLP DOI BibTeX RDF |
|
34 | Chaoguang Men, Yunlong Zhao, Wenbin Yao |
A Two-Phase Time-based Consistent Checkpointing Strategy. |
ITNG |
2006 |
DBLP DOI BibTeX RDF |
time-based checkpointing, fault tolerant, distributed system, checkpoint, coordinated checkpointing |
34 | Patrício Domingues, João Gabriel Silva, Luís Moura Silva |
Sharing Checkpoints to Improve Turnaround Time in Desktop Grid. |
AINA (1) |
2006 |
DBLP DOI BibTeX RDF |
turnaround time, checkpoint, desktop grid, trace-based simulation |
34 | B. Gupta, Z. Liu, Z. Liang |
On designing direct dependency: based fast recovery algorithms for distributed systems. |
ACM SIGOPS Oper. Syst. Rev. |
2004 |
DBLP DOI BibTeX RDF |
communication-induced checkpoint, maximum consistent state, consistency |
34 | Jean-Michel Hélary, Achour Mostéfaoui, Michel Raynal |
Communication-Induced Determination of Consistent Snapshots. |
IEEE Trans. Parallel Distributed Syst. |
1999 |
DBLP DOI BibTeX RDF |
communication-induced protocol, global checkpoint, message recording, consistency, checkpointing, snapshot, Asynchronous distributed computation |
34 | Kam Hong Shum |
Fault tolerant cluster computing through replication. |
ICPADS |
1997 |
DBLP DOI BibTeX RDF |
fault tolerant cluster computing, runtime overhead, fault tolerance schemes, checkpoint states, fault tolerant model, Fujitsu AP3000 multi-processor machine, performance evaluation, replication, workstation clusters, workstation cluster, fault recovery, resource consumption, program termination |
34 | Rasool Jalili, Frans A. Henskens |
Using directed graphs to describe entity dependency in stable distributed persistent stores. |
HICSS (2) |
1995 |
DBLP DOI BibTeX RDF |
entity dependency, distributed persistent stores, roll-back, stability, fault tolerant computing, dependency, object-oriented databases, checkpoint, directed graphs, directed graphs, database theory, fault-tolerant systems, persistent systems, persistent object store |
34 | Bob Janssens, W. Kent Fuchs |
The Performance of Cache-Based Error Recovery in Multiprocessors. |
IEEE Trans. Parallel Distributed Syst. |
1994 |
DBLP DOI BibTeX RDF |
cache-based error recovery performance, cache-based checkpointing, rollback error recovery, shared-memorymultiprocessors, inherent redundancy, computation state, rollback propagation, EncoreMultimax, recovery schemes, cache-based schemes, low performance overhead, checkpoint interval, performance evaluation, performance evaluation, virtual machines, multiprocessors, redundancy, memory hierarchy, shared memory systems, system recovery, buffer storage, parallel applications, cache coherence protocol, transient errors, cache replacement policy, address traces |
34 | Raymond A. Lorie |
Physical Integrity in a Large Segmented Database. |
ACM Trans. Database Syst. |
1977 |
DBLP DOI BibTeX RDF |
checkpoint-restart, database, recovery, storage management |
32 | Sheng Di, Yves Robert, Frédéric Vivien, Franck Cappello |
Toward an Optimal Online Checkpoint Solution under a Two-Level HPC Checkpoint Model. |
IEEE Trans. Parallel Distributed Syst. |
2017 |
DBLP DOI BibTeX RDF |
|
32 | Xiongchao Tang, Jidong Zhai, Bowen Yu 0003, Wenguang Chen, Weimin Zheng |
Self-Checkpoint: An In-Memory Checkpoint Method Using Less Space and Its Practice on Fault-Tolerant HPL. |
PPoPP |
2017 |
DBLP DOI BibTeX RDF |
|
32 | Nosayba El-Sayed, Bianca Schroeder |
To checkpoint or not to checkpoint: Understanding energy-performance-I/O tradeoffs in HPC checkpointing. |
CLUSTER |
2014 |
DBLP DOI BibTeX RDF |
|
32 | Iván Cores, Gabriel Rodríguez 0001, María J. Martín, Patricia González, Roberto R. Osorio |
Improving Scalability of Application-Level Checkpoint-Recovery by Reducing Checkpoint Sizes. |
New Gener. Comput. |
2013 |
DBLP DOI BibTeX RDF |
|
32 | Jeffrey L. Jenkins, Jim Marquardson, Jeffrey Gainer Proudfoot, Joseph S. Valacich, Elyse Golob, Jay F. Nunamaker Jr. |
The Checkpoint Simulation: A Tool for Informing Border Patrol Checkpoint Design and Resource Allocation. |
EISIC |
2013 |
DBLP DOI BibTeX RDF |
|
32 | Kathryn M. Mohror, Adam Moody, Bronis R. de Supinski |
Asynchronous checkpoint migration with MRNet in the Scalable Checkpoint / Restart Library. |
DSN Workshops |
2012 |
DBLP DOI BibTeX RDF |
|
32 | Xiangyong Ouyang, Raghunath Rajachandrasekar, Xavier Besseron, Hao Wang 0002, Jian Huang 0006, Dhabaleswar K. Panda 0001 |
CRFS: A Lightweight User-Level Filesystem for Generic Checkpoint/Restart. |
ICPP |
2011 |
DBLP DOI BibTeX RDF |
checkpoint-restart, userspace filesystem, write aggregation |
32 | Claudia Rusu, Cristian Grecu, Lorena Anghel |
Coordinated versus Uncoordinated Checkpoint Recovery for Network-on-Chip Based Systems. |
DELTA |
2008 |
DBLP DOI BibTeX RDF |
fault tolerance, network-on-chip, checkpoint, recovery, rollback, message log, failure rate, traffic load |
32 | Do-Hyung Kim, Chang-Soon Park |
A Communication-Induced Checkpointing Algorithm Using Virtual Checkpoint on Distributed Systems. |
ICPADS |
2000 |
DBLP DOI BibTeX RDF |
checkpoint, recovery |
28 | Amit Golander, Shlomo Weiss |
Hiding the misprediction penalty of a resource-efficient high-performance processor. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
misprediction, Checkpoints, out-of-order execution, scalable architecture, rollback |
28 | Panfeng Wang, Zhiyuan Wang, Yunfei Du, Xuejun Yang, Haifang Zhou |
Optimal Placement of Application-Level Checkpoints. |
HPCC |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Roberto Toccaceli, Francesco Quaglia |
DyMeLoR: Dynamic Memory Logger and Restorer Library for Optimistic Simulation Objects with Generic Memory Layout. |
PADS |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Xuejun Yang, Panfeng Wang, Hongyi Fu, Yunfei Du, Zhiyuan Wang, Jia Jia 0004 |
Compiler-Assisted Application-Level Checkpointing for MPI Programs. |
ICDCS |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Lei Ni, Aaron Harwood |
An Adaptive Checkpointing Scheme for Peer-to-Peer Based Volunteer Computing Work Flows. |
PDCAT |
2008 |
DBLP DOI BibTeX RDF |
|
28 | Arun Babu Nagarajan, Frank Mueller 0001, Christian Engelmann, Stephen L. Scott |
Proactive fault tolerance for HPC with Xen virtualization. |
ICS |
2007 |
DBLP DOI BibTeX RDF |
proactive fault tolerance, virtualization, high-performance computing |
28 | Kiranmai Bellam, Raghava K. Vudata, Xiao Qin 0001, Ziliang Zong, Xiaojun Ruan, Mais Nijim |
Interplay of Security and Reliability using Non-uniform Checkpoints. |
ICCCN |
2007 |
DBLP DOI BibTeX RDF |
|
28 | Edmund B. Nightingale, Peter M. Chen, Jason Flinn |
Speculative execution in a distributed file system. |
ACM Trans. Comput. Syst. |
2006 |
DBLP DOI BibTeX RDF |
causality, speculative execution, Distributed file systems |
28 | Patrício Domingues, Artur Andrzejak 0001, Luís Moura Silva |
Using Checkpointing to Enhance Turnaround Time on Institutional Desktop Grids. |
e-Science |
2006 |
DBLP DOI BibTeX RDF |
|
28 | Clairton Buligon, Sérgio Luis Cechin, Ingrid Jansch-Pôrto |
Implementing Rollback-Recovery Coordinated Checkpoints. |
ISSADS |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Edmund B. Nightingale, Peter M. Chen, Jason Flinn |
Speculative execution in a distributed file system. |
SOSP |
2005 |
DBLP DOI BibTeX RDF |
causality, speculative execution, distributed file systems |
28 | Matthieu Fertre, Christine Morin |
Extending a Cluster SSI OS for Transparently Checkpointing Message-Passing Parallel Application. |
ISPAN |
2005 |
DBLP DOI BibTeX RDF |
global coordination, checkpointing, parallel application, single system image |
28 | Gene Cooperman, Jason Ansel, Xiaoqin Ma |
Adaptive Checkpointing for Master-Worker Style Parallelism. |
CLUSTER |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Daniel Nurmi, John Brevik, Richard Wolski |
Minimizing the Network Overhead of Checkpointing in Cycle-harvesting Cluster Environments. |
CLUSTER |
2005 |
DBLP DOI BibTeX RDF |
|
28 | Rami G. Melhem, Daniel Mossé, E. N. Elnozahy |
The Interplay of Power Management and Fault Recovery in Real-Time Systems. |
IEEE Trans. Computers |
2004 |
DBLP DOI BibTeX RDF |
fault tolerance, real-time systems, reliability, power management, Checkpointing, voltage scaling, frequency scaling |
28 | Eva Y.-H. P. Lee |
Protein Network in DNA Damage Response and Breast Carcinogenesis. |
BIBE |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Lin Wang, Hon Fung Li, Dhrubajyoti Goswami, Zunce Wei |
A Fault-Tolerant Multi-agent Development Framework. |
ISPA |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Pierre Lemarinier, Aurélien Bouteiller, Thomas Hérault, Géraud Krawezik, Franck Cappello |
Improved message logging versus improved coordinated checkpointing for fault tolerant MPI. |
CLUSTER |
2004 |
DBLP DOI BibTeX RDF |
|
28 | Kuo-Feng Ssu, W. Kent Fuchs, Hewijin Christine Jiau |
Process Recovery in Heterogeneous Systems. |
IEEE Trans. Computers |
2003 |
DBLP DOI BibTeX RDF |
portable checkpointing, Heterogeneous systems, rollback recovery, process migration |
28 | L. Kumar, Muldip Mishra, Ramesh C. Joshi |
Low Overhead Optimal Checkpointing for Mobile Distributed Systems. |
ICDE |
2003 |
DBLP DOI BibTeX RDF |
|
28 | Peter Sobe |
Stable Checkpointing in Distributed Systems without Shared Disks. |
IPDPS |
2003 |
DBLP DOI BibTeX RDF |
|
28 | Weigang Ni, Susan V. Vrbsky, Sibabrata Ray |
Low-cost Coordinated Nonblocking Checkpointing in Mobile Computing Systems. |
ISCC |
2003 |
DBLP DOI BibTeX RDF |
|
28 | Francesco Quaglia, Andrea Santoro |
Modeling and optimization of non-blocking checkpointing for optimistic simulation on myrinet clusters. |
ICS |
2003 |
DBLP DOI BibTeX RDF |
checkpointing, performance optimization, DMA, optimistic simulation |
28 | Sarmistha Neogy, Anupam Sinha, Pradip Kumar Das |
Distributed Checkpointing Using Synchronized Clocks. |
COMPSAC |
2002 |
DBLP DOI BibTeX RDF |
|
28 | George Bosilca, Aurélien Bouteiller, Franck Cappello, Samir Djilali, Gilles Fedak, Cécile Germain, Thomas Hérault, Pierre Lemarinier, Oleg Lodygensky, Frédéric Magniette, Vincent Néri, Anton Selikhov |
MPICH-V: toward a scalable fault tolerant MPI for volatile nodes. |
SC |
2002 |
DBLP DOI BibTeX RDF |
|
28 | L. Kumar, Manoj Misra, Isi Mitrani |
Analysis of a Transaction System with Checkpointing, Failures, and Rollback. |
Computer Performance Evaluation / TOOLS |
2002 |
DBLP DOI BibTeX RDF |
|
28 | Islene C. Garcia, Luiz Eduardo Buzato |
On the Minimal Characterization of the Rollback-Dependency Trackability Property. |
ICDCS |
2001 |
DBLP DOI BibTeX RDF |
zigzag paths, fault-tolerance, Distributed algorithms, rollback recovery, distributed checkpointing |
28 | Kengo Hiraga, Hiroaki Higaki |
Consistent Global Checkpoints in Multimedia Network Systems. |
ICOIN |
2001 |
DBLP DOI BibTeX RDF |
|
28 | Katsuya Tanaka, Makoto Takizawa 0001 |
Asynchronous Checkpointing Protocol for Object-Based Systems. |
ISORC |
2000 |
DBLP DOI BibTeX RDF |
Distributed Object-based System, Fault-Tolerant, Group communication, Rollback Recovery, Asynchronous protocol |
28 | Katsuya Tanaka, Makoto Takizawa 0001 |
Protocol for Taking Object-Based Checkpoints. |
DEXA |
2000 |
DBLP DOI BibTeX RDF |
|
28 | Jon B. Weissman |
Fault Tolerant Wide-Area Parallel Computing. |
IPDPS Workshops |
2000 |
DBLP DOI BibTeX RDF |
|
28 | Roberto Baldoni, Francesco Quaglia, Michel Raynal |
Distributed Database Checkpointing. |
Euro-Par |
1999 |
DBLP DOI BibTeX RDF |
|
28 | Islene C. Garcia, Luiz Eduardo Buzato |
Progressive Construction of Consistent Global Checkpoints. |
ICDCS |
1999 |
DBLP DOI BibTeX RDF |
zigzag paths, causality, monitoring systems, consistent global states, distributed checkpointing |
28 | Kuo-Feng Ssu, Bin Yao, W. Kent Fuchs |
An Adaptive Checkpointing Protocol to Bound Recovery Time with Message Logging. |
SRDS |
1999 |
DBLP DOI BibTeX RDF |
|
28 | Jichiang Tsai 0001, Sy-Yen Kuo, Yi-Min Wang |
Theoretical Analysis for Communication-Induced Checkpointing Protocols with Rollback-Dependency Trackability. |
IEEE Trans. Parallel Distributed Syst. |
1998 |
DBLP DOI BibTeX RDF |
Rollback-dependency trackability, communication-induced protocols, checkpointing, on-line algorithms, rollback recovery |
28 | Zhonghua Yang, Chengzheng Sun, Abdul Sattar 0001, Yanyan Yang |
Guaranteed Mutually Consistent Checkpointing in Distributed Computations. |
ASIAN |
1998 |
DBLP DOI BibTeX RDF |
|
28 | Roberto Baldoni, Jean-Michel Hélary, Achour Mostéfaoui, Michel Raynal |
Consistent State Restoration in Shared Memory Systems. |
APDC |
1997 |
DBLP DOI BibTeX RDF |
|
28 | Katsuya Tanaka, Makoto Takizawa 0001 |
Distributed checkpointing based on influential messages. |
ICPADS |
1996 |
DBLP DOI BibTeX RDF |
influential messages, massage passing, object faults, protocols, protocols, distributed processing, message passing, remote procedure calls, remote procedure call, consistent global state, distributed checkpointing |
28 | Asser N. Tantawi, Manfred Ruschitzka |
Performance analysis of checkpointing strategies. |
SIGMETRICS |
1983 |
DBLP DOI BibTeX RDF |
|
25 | |
Checkpoint. |
Encyclopedia of Database Systems |
2009 |
DBLP DOI BibTeX RDF |
|
25 | Rajendra Singh, Peter Graham |
Grouping MPI Processes for Partial Checkpoint and Co-migration. |
Euro-Par |
2009 |
DBLP DOI BibTeX RDF |
|
25 | John Bent, Garth A. Gibson, Gary Grider, Ben McClelland, Paul Nowoczynski, James Nunez, Milo Polte, Meghan Wingate |
PLFS: a checkpoint filesystem for parallel applications. |
SC |
2009 |
DBLP DOI BibTeX RDF |
check-pointing, parallel file systems and IO, parallel computing, high performance computing |
25 | Zizhong Chen, Jack J. Dongarra |
A Scalable Checkpoint Encoding Algorithm for Diskless Checkpointing. |
HASE |
2008 |
DBLP DOI BibTeX RDF |
|
25 | Xavier Besseron, Thierry Gautier |
Optimised Recovery with a Coordinated Checkpoint/Rollback Protocol for Domain Decomposition Applications. |
MCO |
2008 |
DBLP DOI BibTeX RDF |
fault-tolerance, parallel computing, grid, data flow graph |
25 | Diane Wilson, Robert Pryor, S. Annie So, Eric K. Roe |
Security checkpoint optimizer simulation tool for passenger screening prototyping. |
WSC |
2007 |
DBLP DOI BibTeX RDF |
|
25 | Raphael Y. de Camargo, Fabio Kon, Renato Cerqueira |
Strategies for Checkpoint Storage on Opportunistic Grids. |
IEEE Distributed Syst. Online |
2006 |
DBLP DOI BibTeX RDF |
data coding, fault tolerance, grid computing, checkpointing, distributed storage |
25 | John English |
The checkpoint automated assessment system. |
ITiCSE |
2006 |
DBLP DOI BibTeX RDF |
management information, authoring, examinations, automated assessment |
25 | Diane Wilson, Eric K. Roe, S. Annie So |
Security Checkpoint Optimizer (SCO): an application for simulating the operations of airport security checkpoints. |
WSC |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Zizhong Chen, Jack J. Dongarra |
Algorithm-based checkpoint-free fault tolerance for parallel matrix computations on volatile resources. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Greg Bronevetsky, Rohit Fernandes, Daniel Marques, Keshav Pingali, Paul Stodghill |
Recent advances in checkpoint/recovery systems. |
IPDPS |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Marcin Gorawski, Pawel Marks |
Checkpoint-based resumption in data warehouses. |
SET |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Jin Yang 0005, Jiannong Cao 0001, Weigang Wu |
Checkpoint Placement Algorithms for Mobile Agent System. |
GCC |
2006 |
DBLP DOI BibTeX RDF |
|
25 | Samir Jafar, Thierry Gautier, Axel W. Krings, Jean-Louis Roch |
A Checkpoint/Recovery Model for Heterogeneous Dataflow Computations Using Work-Stealing. |
Euro-Par |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Elena Dubrova |
Linear-time algorithm for computing minimum checkpoint sets for simulation-based verification of HDL programs. |
ISCAS (3) |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Adnan Agbaria, Roy Friedman |
A Replication- and Checkpoint-Based Approach for Anomaly-Based Intrusion Detection and Recovery. |
ICDCS Workshops |
2005 |
DBLP DOI BibTeX RDF |
|
25 | Masakazu Ono, Hiroaki Higaki |
Hybrid Checkpoint Protocol for Cell-Dependent Infrastructured Networks. |
IPDPS |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Masakazu Ono, T. Hirakawa, Hiroaki Higaki |
Hybrid checkpoint protocol for cell-dependent infrastructured networks. |
ISCC |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Martin Schulz 0001, Greg Bronevetsky, Rohit Fernandes, Daniel Marques, Keshav Pingali, Paul Stodghill |
Implementation and Evaluation of a Scalable Application-Level Checkpoint-Recovery Scheme for MPI Programs. |
SC |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Sriram Krishnan, Dennis Gannon |
Checkpoint and Restart for Distributed Components in XCAT3. |
GRID |
2004 |
DBLP DOI BibTeX RDF |
|
25 | Haitham Akkary, Ravi Rajwar, Srikanth T. Srinivasan |
Checkpoint Processing and Recovery: An Efficient, Scalable Alternative to Reorder Buffers. |
IEEE Micro |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Yi-Min Wang, Chad Verbowski, Daniel R. Simon |
Persistent-State Checkpoint Comparison for Troubleshooting Configuration Failures. |
DSN |
2003 |
DBLP DOI BibTeX RDF |
|
25 | John Daly |
A Model for Predicting the Optimum Checkpoint Interval for Restart Dumps. |
International Conference on Computational Science |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Yoshinori Morita, Hiroaki Higaki |
Hybrid Checkpoint Protocol in Wireless LAN Environment. |
AINA |
2003 |
DBLP DOI BibTeX RDF |
|
25 | Youhui Zhang, Dongsheng Wang 0002 |
A checkpoint-based high availability run-time system for Windows NT clusters. |
ACM SIGOPS Oper. Syst. Rev. |
2002 |
DBLP DOI BibTeX RDF |
fault tolerance, checkpointing, rollback recovery |
25 | Felix Rauch, Thomas Stricker |
Comments on "transparent user-level process checkpoint and restore for migration" by Bozyigit and Wasiq. |
ACM SIGOPS Oper. Syst. Rev. |
2002 |
DBLP DOI BibTeX RDF |
|
25 | Christine Morin, Renaud Lottiaux, Anne-Marie Kermarrec |
A Two-Level Checkpoint Algorithm in a Highly-Available Parallel Single Level Store System. |
CCGRID |
2001 |
DBLP DOI BibTeX RDF |
|
Displaying result #101 - #200 of 1074 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|