The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DAC"( http://dblp.L3S.de/Venues/DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dac

Publication years (Num. hits)
1964 (18) 1965 (20) 1966 (17) 1967 (25) 1968 (29) 1969 (31) 1970 (37) 1971 (40) 1972 (50) 1973 (37) 1974 (48) 1975 (56) 1976 (65) 1977 (78) 1978 (76) 1979 (93) 1980 (96) 1981 (136) 1982 (133) 1983 (136) 1984 (126) 1985 (138) 1986 (126) 1987 (129) 1988 (133) 1989 (158) 1990 (133) 1991 (148) 1992 (141) 1993 (142) 1994 (136) 1995 (123) 1996 (152) 1997 (141) 1998 (157) 1999 (182) 2000 (161) 2001 (161) 2002 (170) 2003 (190) 2004 (198) 2005 (192) 2006 (220) 2007 (202) 2008 (196) 2009 (194) 2010 (184) 2011 (188) 2012 (197) 2013 (186) 2014 (214) 2015 (204) 2016 (175) 2017 (178) 2018 (180) 2019 (241) 2020 (261) 2021 (246) 2022 (247) 2023 (323)
Publication types (Num. hits)
inproceedings(8334) proceedings(60)
Venues (Conferences, Journals, ...)
DAC(8394)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 4757 occurrences of 1662 keywords

Results
Found 8394 publication records. Showing 8394 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Behnaz Ranjbar, Ali Hosseinghorban, Akash Kumar 0001 ADAPTIVE: Agent-Based Learning for Bounding Time in Mixed-Criticality Systems. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shanglin Zhou, Yingjie Li, Minhan Lou, Weilu Gao, Zhijie Shi, Cunxi Yu, Caiwen Ding Physics-aware Roughness Optimization for Diffractive Optical Neural Networks. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yu Zhang, Yifan Chen, Zhonglin Xie, Hong Xu 0001, Zaiwen Wen, Yibo Lin, Bei Yu 0001 LRSDP: Low-Rank SDP for Triple Patterning Lithography Layout Decomposition. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yifan Zhu, Peinan Li, Lutan Zhao, Dan Meng, Rui Hou 0001 ChaosINTC: A Secure Interrupt Management Mechanism against Interrupt-based Attacks on TEE. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hasindu Gamaarachchi, Kisaru Liyanage, Sri Parameswaran Invited: Algorithms and Architectures for Accelerating Long Read Sequence Analysis. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Abhishek Moitra, Abhiroop Bhattacharjee, Youngeun Kim, Priyadarshini Panda XPert: Peripheral Circuit & Neural Architecture Co-search for Area and Energy-efficient Xbar-based Computing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wende Tan, Yangyu Chen, Yuan Li, Ying Liu 0024, Jianping Wu, Yu Ding, Chao Zhang PTStore: Lightweight Architectural Support for Page Table Isolation. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vikram Jain, Matheus A. Cavalcante, Nazareno Bruschi, Michael Rogenmoser, Thomas Benz, Andreas Kurth, Davide Rossi, Luca Benini, Marian Verhelst PATRONoC: Parallel AXI Transport Reducing Overhead for Networks-on-Chip targeting Multi-Accelerator DNN Platforms at the Edge. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ashwin Sanjay Lele, Muya Chang, Samuel D. Spetalnick, Brian Crafton, Arijit Raychowdhury, Yan Fang Neuromorphic Swarm on RRAM Compute-in-Memory Processor for Solving QUBO Problem. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Junhuan Yang, Yi Sheng, Yuzhou Zhang, Weiwen Jiang, Lei Yang 0018 On-Device Unsupervised Image Segmentation. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zizhang Luo, Liqiang Lu, Size Zheng 0001, Jieming Yin, Jason Cong, Jianwei Yin, Yun Liang 0001 Rubick: A Synthesis Framework for Spatial Architectures via Dataflow Decomposition. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Soonhoi Ha How to Boost Deep Neural Networks for Computer Vision. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yixuan Luo, Cheng Tan 0002, Nicolas Bohm Agostini, Ang Li 0006, Antonino Tumeo, Nirav Dave, Tong Geng ML-CGRA: An Integrated Compilation Framework to Enable Efficient Machine Learning Acceleration on CGRAs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ao Zhou, Jianlei Yang 0001, Yingjie Qi, Yumeng Shi, Tong Qiao, Weisheng Zhao, Chunming Hu Hardware-Aware Graph Neural Network Automated Design for Edge Computing Platforms. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jian Gao, Weidong Cao, Xuan Zhang RoSE: Robust Analog Circuit Parameter Optimization with Sampling-Efficient Reinforcement Learning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Liu Liu, Shubham Kumar, Simon Thomann, Hussam Amrouch, Xiaobo Sharon Hu Compact and High-Performance TCAM Based on Scaled Double-Gate FeFETs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shuai Zhao 0004, Nan Chen, Yinjie Fang, Zhao Li, Wanli Chang 0001 A Universal Method for Task Allocation on FP-FPS Multiprocessor Systems with Spin Locks. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiaqi Yin, Yingjie Li, Daniel Robinson, Cunxi Yu RESPECT: Reinforcement Learning based Edge Scheduling on Pipelined Coral Edge TPUs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiaofan Yu, Minxuan Zhou, Fatemeh Asgarinejad, Onat Güngör, Baris Aksanli, Tajana Rosing Lightning Talk: Private and Secure Edge AI with Hyperdimensional Computing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Waleed Khalil Lightning Talk: Unlocking the Potential of the Analog Domain: Exploring the Next Frontier in Hardware Security. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Steve Dai, Hasan Genc, Rangharajan Venkatesan, Brucek Khailany Efficient Transformer Inference with Statically Structured Sparse Attention. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rajiv V. Joshi, Sudipto Chakraborty (Invited) Predictive analytics for cryogenic CMOS in future quantum computing systems. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yanchi Dong, Tianyu Jia, Kaixuan Du, Yiqi Jing, Qijun Wang, Pixian Zhan, Yadong Zhang, Fengyun Yan, Yufei Ma 0002, Yun Liang 0001, Le Ye, Ru Huang A Model-Specific End-to-End Design Methodology for Resource-Constrained TinyML Hardware. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Gianluca Brilli, Giacomo Valente, Alessandro Capotondi, Paolo Burgio, T. Di Masciov, Paolo Valente, Andrea Marongiu Fine-Grained QoS Control via Tightly-Coupled Bandwidth Monitoring and Regulation for FPGA-based Heterogeneous SoCs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Armin Darjani, Nima Kavand, Shubham Rai, Akash Kumar 0001 Discerning Limitations of GNN-based Attacks on Logic Locking. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Saurabh Pujar, Luca Buratti, Xiaojie Guo, Nicolas Dupuis, Burn L. Lewis, Sahil Suneja, Atin Sood, Ganesh Nalawade, Matthew Jones, Alessandro Morari, Ruchir Puri Invited: Automated Code generation for Information Technology Tasks in YAML through Large Language Models. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jinwen Wang, Yuhao Wu, Han Liu, Bo Yuan 0002, Roger Chamberlain, Ning Zhang 0017 IP Protection in TinyML. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Igor Nunes, Mike Heddes, Tony Givargis, Alexandru Nicolau An Extension to Basis-Hypervectors for Learning from Circular Data in Hyperdimensional Computing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Cangyuan Li, Ying Wang 0001, Huawei Li 0001, Yinhe Han 0001 APPEND: Rethinking ASIP Synthesis in the Era of AI. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tianji Liu, Evangeline F. Y. Young Rethinking AIG Resynthesis in Parallel. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohsen Imani Lightning Talk: Bridging Neuro-Dynamics and Cognition. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lin Ding, Song Bian 0001, Jiliang Zhang 0002 PIMA-LPN: Processing-in-memory Acceleration for Efficient LPN-based Post-Quantum Cryptography. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Amel Fatima, Sihang Liu 0001, Korakit Seemakhupt, Rachata Ausavarungnirun, Samira Manabi Khan vPIM: Efficient Virtual Address Translation for Scalable Processing-in-Memory Architectures. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Peiyu Liao, Hongduo Liu, Yibo Lin, Bei Yu 0001, Martin D. F. Wong On a Moreau Envelope Wirelength Model for Analytical Global Placement. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jian-Jia Chen, Niklas Ueter, Mario Günzel, Georg von der Brüggen, Tei-Wei Kuo Property-Based Timing Analysis and Optimization for Complex Cyber-Physical Real-Time Systems. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Richard Petri 0002, Grace Li Zhang, Yiran Chen 0001, Ulf Schlichtmann, Bing Li 0005 PowerPruning: Selecting Weights and Activations for Power-Efficient Neural Network Acceleration. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bo-Yuan Huang 0001, Hongce Zhang, Aarti Gupta, Sharad Malik INVITED: Generalizing the ISA to the ILA: A Software/Hardware Interface for Accelerator-rich Platforms. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Eunji Yoo, Gunho Park, Jung Gyu Min, Se Jung Kwon, Baeseong Park, Dongsoo Lee, Youngjoo Lee TF-MVP: Novel Sparsity-Aware Transformer Accelerator with Mixed-Length Vector Pruning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nojan Sheybani, Zahra Ghodsi, Ritvik Kapila, Farinaz Koushanfar ZKROWNN: Zero Knowledge Right of Ownership for Neural Networks. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jinming Zhuang, Zhuoping Yang, Peipei Zhou 0001 High Performance, Low Power Matrix Multiply Design on ACAP: from Architecture, Design Challenges and DSE Perspectives. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yongqiang Lyu 0001, Rihui Sun, Gang Qu 0001 Processor Vulnerability Discovery. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhiding Liang, Zhixin Song, Jinglei Cheng, Zichang He, Ji Liu 0007, Hanrui Wang 0002, Ruiyang Qin, Yiru Wang, Song Han 0003, Xuehai Qian, Yiyu Shi 0001 Hybrid Gate-Pulse Model for Variational Quantum Algorithms. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuhui Hao, Yiming Gan, Bo Yu 0014, Qiang Liu 0011, Shaoshan Liu, Yuhao Zhu 0001 BLITZCRANK: Factor Graph Accelerator for Motion Planning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sanghoon Lee, Jongho Park, Minho Ha, Byungil Koh, Kyoung Park, Yeseong Kim Sidekick: Near Data Processing for Clustering Enhanced by Automatic Memory Disaggregation. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Debendra Das Sharma Invited: Compute Express Link™ (CXL™): An Open Interconnect for Cloud Infrastructure. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yanqi Pan, Yifeng Zhang, Wen Xia, Xiangyu Zou, Cai Deng HUNTER: Releasing Persistent Memory Write Performance with A Novel PM-DRAM Collaboration Architecture. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ziyue Liu 0003, Yixing Li, Jing Hu, Xinling Yu, Shinyu Shiau, Xin Ai 0007, Zhiyu Zeng, Zheng Zhang DeepOHeat: Operator Learning-based Ultra-fast Thermal Simulation in 3D-IC Design. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yan-Jen Chen, Yan-Syuan Chen, Wei-Che Tseng, Cheng-Yu Chiang, Yu-Hsiang Lo, Yao-Wen Chang Late Breaking Results: Analytical Placement for 3D ICs with Multiple Manufacturing Technologies. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chih-Chia Lin, Chia-Yin Liu, Chih-Hsuan Yen, Tei-Wei Kuo, Pi-Cheng Hsiu Intermittent-Aware Neural Network Pruning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiang Hu Lightning Talk: Power and Performance Reconciliation - from Tradeoff to Win-Win. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xuliang Zhu, Ruofei Tang, Lei Chen 0002, Xing Li, Xin Huang, Mingxuan Yuan, Weihua Sheng, Jianliang Xu A Database Dependent Framework for K-Input Maximum Fanout-Free Window Rewriting. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kuei-Lin Wu, Shao-Yun Fang Lamellar DSA-aware Detailed Routing Considering Double Patterning and Short Template Minimization. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nora Sperling, Alex Bendrick, Dominik Stöhrmann, Rolf Ernst Invited: Caching in Automated Data Centric Vehicles for Edge Computing Scenarios. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Deming Chen Lightning Talk: The Next Wave of High-level Synthesis. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhe Jiang 0004, Nathan Fisher, Nan Guan, Zheng Dong 0002 BlueFace: Integrating an Accelerator into the Core's Pipeline through Algorithm-Interface Co-Design for Real-Time SoCs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuke Zhang, Dake Chen, Souvik Kundu 0002, Haomei Liu, Ruiheng Peng, Peter A. Beerel C2PI: An Efficient Crypto-Clear Two-Party Neural Network Private Inference. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Siting Liu 0002, Ziyi Wang, Fangzhou Liu, Yibo Lin, Bei Yu 0001, Martin D. F. Wong Concurrent Sign-off Timing Optimization via Deep Steiner Points Refinement. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mengquan Li, Chao Wu 0006, Congming Gao, Cheng Ji, Kenli Li 0001 RLAlloc: A Deep Reinforcement Learning-Assisted Resource Allocation Framework for Enhanced Both I/O Throughput and QoS Performance of Multi-Streamed SSDs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Nikil D. Dutt, Bryan Donyanavard Lightning Talk: The New Era of Computational Cognitive Intelligence. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Antian Wang, Bingyin Zhao, Weihang Tan, Yingjie Lao NNTesting: Neural Network Fault Attacks Detection Using Gradient-Based Test Vector Generation. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zelin Du, Wei Zhang 0173, Zimeng Zhou, Zili Shao, Lei Ju 0001 Accelerating DNN Inference with Heterogeneous Multi-DPU Engines. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhengang Li, Yanyue Xie, Peiyan Dong, Olivia Chen, Yanzhi Wang Invited: Algorithm-Software-Hardware Co-Design for Deep Learning Acceleration. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiangjie Li, Yingtao Shen, An Zou, Yehan Ma EENet: Energy Efficient Neural Networks with Run-time Power Management. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tao Yang, Yiyuan Zhou, Qidong Tang, Feng Xu, Hui Ma, Jieru Zhao, Li Jiang 0002 SpMMPlu: A Compiler Plug-in with Sparse IR for Efficient Sparse Matrix Multiplication. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hassan Nassar, Simon Pankner, Lars Bauer, Jörg Henkel Late Breaking Results: Configurable Ring Oscillators as a Side-Channel Countermeasure. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chang Liu, Yongqiang Lyu 0001, Haixia Wang 0001, Pengfei Qiu, Dapeng Ju, Gang Qu 0001, Dongsheng Wang 0002 Leaky MDU: ARM Memory Disambiguation Unit Uncovered and Vulnerabilities Exposed. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mingjia Fan, Xiaotian Tian, Yintao He, Junxian Li, Yiru Duan, Xiaozhe Hu, Ying Wang 0001, Zhou Jin 0001, Weifeng Liu 0002 AmgR: Algebraic Multigrid Accelerated on ReRAM. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Qilin Zheng, Shiyu Li, Yitu Wang, Ziru Li, Yiran Chen 0001, Hai Helen Li Accelerating Sparse Attention with a Reconfigurable Non-volatile Processing-In-Memory Architecture. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Seunghyun Lee, Jeik Choi, Seock-Hwan Noh, Jahyun Koo 0002, Jaeha Kung DBPS: Dynamic Block Size and Precision Scaling for Efficient DNN Training Supported by RISC-V ISA Extensions. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jaewon Jung 0001, Jaeyong Song, Hongsun Jang, Hyeyoon Lee, Kanghyun Choi, Noseong Park, Jinho Lee Fast Adversarial Training with Dynamic Batch-level Attack Control. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhuo Liu, Yunan Yang, Zhenyu Pan, Anshujit Sharma, Amit Hasan, Caiwen Ding, Ang Li 0006, Michael C. Huang 0001, Tong Geng Ising-CF: A Pathbreaking Collaborative Filtering Method Through Efficient Ising Machine Learning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jian Peng, Tingyuan Liang, Zhiyao Xie, Wei Zhang 0012 PROPHET: Predictive On-Chip Power Meter in Hardware Accelerator for DNN. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Onur Mutlu, Can Firtina Invited: Accelerating Genome Analysis via Algorithm-Architecture Co-Design. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Halima Bouzidi, Mohanad Odema, Hamza Ouarnoughi, Smaïl Niar, Mohammad Abdullah Al Faruque Map-and-Conquer: Energy-Efficient Mapping of Dynamic Neural Nets onto Heterogeneous MPSoCs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tengcheng Wang, Wenhao Li, Haojie Pei, Yuying Sun, Zhou Jin 0001, Weifeng Liu 0002 Accelerating Sparse LU Factorization with Density-Aware Adaptive Matrix Multiplication for Circuit Simulation. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Liang Wang, Kai Lu, Nan Zhang, Xiaoyang Qu, Jianzong Wang, Jiguang Wan, Guokuan Li, Jing Xiao 0006 Shoggoth: Towards Efficient Edge-Cloud Collaborative Real-Time Video Inference via Adaptive Online Learning. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yihong Zhu, Wenping Zhu, Chen Chen, Min Zhu 0001, Zhengdong Li, Shaojun Wei, Leibo Liu Mckeycutter: A High-throughput Key Generator of Classic McEliece on Hardware. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bingyao Li, Yueqi Wang, Xulong Tang Orchestrated Scheduling and Partitioning for Improved Address Translation in GPUs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhuolun He, Yihang Zuo, Jiaxi Jiang, Haisheng Zheng, Yuzhe Ma, Bei Yu 0001 OpenDRC: An Efficient Open-Source Design Rule Checking Engine with Hierarchical GPU Acceleration. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Qianqian Song, Xu Cheng, Peng Cao Critical Paths Prediction under Multiple Corners Based on BiLSTM Network. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yifan Gong 0004, Pu Zhao 0001, Zheng Zhan 0001, Yushu Wu, Chao Wu, Zhenglun Kong, Minghai Qin, Caiwen Ding, Yanzhi Wang Condense: A Framework for Device and Frequency Adaptive Neural Network Models on the Edge. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Victor M. van Santen, Marcel Walter, Florian Klemme, Shivendra Singh Parihar, Girish Pahwa, Yogesh Singh Chauhan, Robert Wille, Hussam Amrouch Design Automation for Cryogenic CMOS Circuits. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rongjian Liang, Nathaniel Ross Pinckney, Yuji Chai, Haoxin Ren, Brucek Khailany Late Breaking Results: Test Selection For RTL Coverage By Unsupervised Learning From Fast Functional Simulation. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chengtao An, Chunqiao Li, Xiangqi Li, Yangfeng Su, Fan Yang 0001, Xuan Zeng 0001 FPDsim: A Structural Simulator For Power Grid Analysis Of Flat Panel Display. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Min-Hsuan Chung, Je-Wei Chuang, Yao-Wen Chang Any-Angle Routing for Redistribution Layers in 2.5D IC Packages. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shuyuan Sun, Fan Yang 0001, Bei Yu 0001, Li Shang, Xuan Zeng 0001 Efficient ILT via Multi-level Lithography Simulation. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jinhua Cui 0001, Kai Tang, Laurence T. Yang A Fast Secure Deletion Strategy for High-Density Flash Memory through WOM-v Codes. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiaoyu Zhang 0009, Zerun Li, Rui Liu, Xiaoming Chen 0003, Yinhe Han 0001 FSPA: An FeFET-based Sparse Matrix-Dense Vector Multiplication Accelerator. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yanfang Liu, Guohao Dai, Wei W. Xing Seeking the Yield Barrier: High-Dimensional SRAM Evaluation Through Optimal Manifold. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Najmeh Nazari, Chongzhou Fang, Sai Manoj P. D., Houman Homayoun Don't Cross Me! Cross-layer System Security. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wenkai Liang, Hao Hu, Xiangyu Zou, Wen Xia, Yanqi Pan DRPTM: A Decoupled Read-efficient High-scalable Persistent Transactional Memory. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ding-Hao Wang, Shuo-Hung Hsu, Shu-Hsiang Yang, Pei-Ju Lin, Hui-Ting Yang, Mark Po-Hung Lin Late Breaking Results: PVT-Sensitive Delay Fitting for High-Performance Computing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Patrick Schaumont Lightning Talk: The Incredible Shrinking Black Box Model. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiaolong Lin, Gang Li 0015, Zizhao Liu, Yadong Liu, Fan Zhang, Zhuoran Song, Naifeng Jing, Xiaoyao Liang AdaS: A Fast and Energy-Efficient CNN Accelerator Exploiting Bit-Sparsity. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Danish Gufran, Saideep Tiku, Sudeep Pasricha VITAL: Vision Transformer Neural Networks for Accurate Smartphone Heterogeneity Resilient Indoor Localization. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1 60th ACM/IEEE Design Automation Conference, DAC 2023, San Francisco, CA, USA, July 9-13, 2023 Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Junyao Wang, Sitao Huang, Mohsen Imani DistHD: A Learner-Aware Dynamic Encoding Method for Hyperdimensional Classification. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Wei-Hsu Chen, Yao-Wen Chang Graph-Based Simultaneous Placement and Routing for Two-Dimensional Directed Self-Assembly Technology. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Cheng Gongye, Yukui Luo, Xiaolin Xu, Yunsi Fei HammerDodger: A Lightweight Defense Framework against RowHammer Attack on DNNs. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xiangguo Liu, Yunpeng Luo, Anthony Goeckner, Trishna Chakraborty, Ruochen Jiao, Ningfei Wang, Yixuan Wang 0001, Takami Sato, Qi Alfred Chen, Qi Zhu 0002 Invited: Waving the Double-Edged Sword: Building Resilient CAVs with Edge and Cloud Computing. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 8394 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license