Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
20 | Barry Rountree, David K. Lowenthal, Bronis R. de Supinski, Martin Schulz 0001, Vincent W. Freeh, Tyler K. Bletsch |
Adagio: making DVS practical for complex HPC applications. |
ICS |
2009 |
DBLP DOI BibTeX RDF |
dvs, energy, runtime, mpi, dvfs |
20 | Abhishek Bhattacharjee, Margaret Martonosi |
Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
intel tbb, thread criticality prediction, parallel processing, caches, dvfs |
20 | Hiroki Matsutani, Michihiro Koibuchi, Daihan Wang, Hideharu Amano |
Adding Slow-Silent Virtual Channels for Low-Power On-Chip Networks. |
NOCS |
2008 |
DBLP DOI BibTeX RDF |
low power, Network-on-Chip, virtual channels, NoC, DVFS, power gating |
20 | Juan Hamers, Lieven Eeckhout |
Automated hardware-independent scenario identification. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
scenario-based design, DVFS, video-decoding |
20 | Toshinori Sato, Yuji Kunitake |
Exploiting Input Variations for Energy Reduction. |
PATMOS |
2007 |
DBLP DOI BibTeX RDF |
typical-case design, dynamic retiming, reliable microarchitecture, robust microarchitecture, DVFS, deep sub-micron |
20 | Bin Lin 0002, Arindam Mallik, Peter A. Dinda, Gokhan Memik, Robert P. Dick |
Power reduction through measurement and modeling of users and CPUs: summary. |
SIGMETRICS |
2007 |
DBLP DOI BibTeX RDF |
process-driven voltage scaling (PDVS), user-driven frequency scaling (UDFS), dynamic voltage and frequency scaling (DVFS) |
20 | Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin |
Phase-aware adaptive hardware selection for power-efficient scientific computations. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
DVFS adaptive architecture prefetchers sparse scientific codes performance power energy |
17 | Takumi Komori, Yutaka Masuda, Tohru Ishihara |
Virtualizing DVFS for Energy Minimization of Embedded Dual-OS Platform. |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Kyung-Chan An, Neelakantan Narasimman, Tony Tae-Hyoung Kim |
A 0.6-to-1.2 V Scaling-Friendly Discrete-Time OTA-Free Linear VCO-Based ΔΣ ADC Suitable for DVFS. |
IEEE Trans. Circuits Syst. I Regul. Pap. |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Rihui Sun, Pengfei Qiu, Yongqiang Lyu 0001, Jian Dong, Haixia Wang 0001, Dongsheng Wang 0002, Gang Qu 0001 |
Lightning: Leveraging DVFS-induced Transient Fault Injection to Attack Deep Learning Accelerator of GPUs. |
ACM Trans. Design Autom. Electr. Syst. |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Akhirul Islam, Manojit Ghose |
ELITE: Energy and Latency-Optimized Task Offloading for DVFS-Enabled Resource-Constrained Devices in MEC. |
ICDCIT |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Sandipan Sinha, Manish Trivedi, Jaswinder Singh, Sriharsha Enjapuri, Deepesh Gujjar, Ramesh Halli, Girishankar Gurumurthy |
A 3nm Ultra High-Speed (4.5GHz) SRAM Cache Design With Wide DVFS Range. |
VLSID |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Leonardo Piga, Iyswarya Narayanan, Aditya Sundarrajan, Matt Skach, Qingyuan Deng, Biswadip Maity, Manoj Chakkaravarthy, Alison Huang, Abhishek Dhanotia, Parth Malani |
Expanding Datacenter Capacity with DVFS Boosting: A safe and scalable deployment experience. |
ASPLOS (1) |
2024 |
DBLP DOI BibTeX RDF |
|
17 | Achilleas Tzenetopoulos, Dimosthenis Masouros, Dimitrios Soudris, Sotirios Xydis |
DVFaaS: Leveraging DVFS for FaaS Workflows. |
IEEE Comput. Archit. Lett. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Meruyert Karzhaubayeva, Aidar Amangeldi, Jurn-Gyu Park |
CNN Workloads Characterization and Integrated CPU-GPU DVFS Governors on Embedded Systems. |
IEEE Embed. Syst. Lett. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | E. Cao, Saira Musa, Mingsong Chen, Tongquan Wei, Xian Wei, Xin Fu, Meikang Qiu |
Energy and Reliability-Aware Task Scheduling for Cost Optimization of DVFS-Enabled Cloud Workflows. |
IEEE Trans. Cloud Comput. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Weiwei Lin 0001, Xiaoxuan Luo, ChunKi Li, Jiechao Liang, Guokai Wu, Keqin Li 0001 |
An Energy-Efficient Tuning Method for Cloud Servers Combining DVFS and Parameter Optimization. |
IEEE Trans. Cloud Comput. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Saroj Kumar Panda, Man Lin, Ti Zhou |
Energy-Efficient Computation Offloading With DVFS Using Deep Reinforcement Learning for Time-Critical IoT Applications in Edge Computing. |
IEEE Internet Things J. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Amir Javadpour, Arun Kumar Sangaiah, Pedro Pinto 0001, Forough Ja'fari, Weizhe Zhang, Ali Majed Hossein Abadi, Hamidreza Ahmadi 0001 |
An Energy-optimized Embedded load balancing using DVFS computing in Cloud Data centers. |
Comput. Commun. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Xinmei Li, Lei Mo, Angeliki Kritikakou, Olivier Sentieys |
Approximation-Aware Task Deployment on Heterogeneous Multicore Platforms With DVFS. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Louella Colaco, Prashiksha Jain, Arun S. Nair, Biju K. Raveendran, Sasikumar Punnekkat |
mcDVFS: cycle conserving DVFS scheduler for multi-core mixed criticality systems. |
Int. J. Parallel Emergent Distributed Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Jing Chen, Madhavan Manivannan, Bhavishya Goel, Miquel Pericàs |
JOSS: Joint Exploration of CPU-Memory DVFS and Task Scheduling for Energy Efficiency. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Sergio Mazzola, Thomas Benz, Björn Forsberg, Luca Benini |
A Data-Driven Approach to Lightweight DVFS-Aware Counter-Based Power Modeling for Heterogeneous Platforms. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Ziyang Zhang, Yang Zhao, Huan Li, Changyao Lin, Jie Liu |
DVFO: Learning-Based DVFS for Energy-Efficient Edge-Cloud Collaborative Inference. |
CoRR |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Yuan Yao 0009 |
Game-of-Life Temperature-Aware DVFS Strategy for Tile-Based Chip Many-Core Processors. |
IEEE J. Emerg. Sel. Topics Circuits Syst. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Cheng Zhuo, Di Gao, Yuan Cao, Tianhao Shen, Li Zhang 0021, Jinfang Zhou, Xunzhao Yin |
A DVFS Design and Simulation Framework Using Machine Learning Models. |
IEEE Des. Test |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Yiwen Zhang 0002 |
DVFS-based energy-aware scheduling of imprecise mixed-criticality real-time tasks. |
J. Syst. Archit. |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Mohammadamin HajiKhodaverdian, Hamed Rastaghi, Milad Saadat, Hamed Shah-Mansouri |
Reinforcement Learning-Based Task Scheduling Using DVFS Techniques in Mobile Devices. |
PIMRC |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Michael Guilherme Jordan, Guilherme Korol, Tiago Knorst, Mateus Beck Rutzig, Antonio Carlos Schneider Beck |
Resource Provisioning for CPU-FPGA Environments with Adaptive HLS-Versioning and DVFS. |
ISVLSI |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Chengdong Lin, Kun Wang, Zhenjiang Li, Yu Pu |
A Workload-Aware DVFS Robust to Concurrent Tasks for Mobile Devices. |
MobiCom |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Yizhi Ding, Haochang Zhi, Jintao Li, Zhuo Chen, Kaiyue Yang, Weiwei Shan |
A Compact and Robust 28nm CMOS Temperature Sensor with Machine Learning Assisted Design for DVFS SoC. |
ICTA |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Bo Li 0025, Wuwen Chen, Shicheng Jin, Yuhao Shi |
DVFS-based Energy-saving Workflow Offloading Strategy in Mobile Edge Computing Environments. |
CSCWD |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Philkyue Shin, Dahun Kim, Seongsoo Hong |
Memory-Aware DVFS Governing Policy for Improved Energy-Saving in the Linux Kernel. |
RTCSA |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Erich Malan, Valentino Peluso, Andrea Calimera, Enrico Macii |
Enabling DVFS Side-Channel Attacks for Neural Network Fingerprinting in Edge Inference Services. |
ISLPED |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Yingchen Wang, Riccardo Paccagnella, Alan Wandke, Zhao Gang, Grant Garrett-Grossman, Christopher W. Fletcher, David Kohlbrenner, Hovav Shacham |
DVFS Frequently Leaks Secrets: Hertzbleed Attacks Beyond SIKE, Cryptography, and CPU-Only Data. |
SP |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Youssef Ait El Mahjoub, Leo Le Corre, Hind Castel-Taleb |
Stochastic Modeling And Optimization For Power And Performance Control In DVFS Systems. |
ECMS |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Jing Chen, Madhavan Manivannan, Bhavishya Goel, Miquel Pericàs |
JOSS: Joint Exploration of CPU-Memory DVFS and Task Scheduling for Energy Efficiency. |
ICPP |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Zikang Tao, Rihui Sun, Jian Dong |
Software Countermeasures against DVFS fault Attack for AES. |
DSA |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Srikant Bharadwaj, Shomit Das, Kaushik Mazumdar, Bradford M. Beckmann, Stephen Kosonocky |
Predict; Don't React for Enabling Efficient Fine-Grain DVFS in GPUs. |
ASPLOS (4) |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Sandipan Sinha, Manish Trivedi, Jaswinder Singh, Sriharsha Enjapuri, Deepesh Gujjar, Ramesh Halli, Girishankar Gurumurthy |
An Innovative Write Circuitry for Enhancing a 3nm L1 Cache Performance Across Wide DVFS Range. |
APCCAS |
2023 |
DBLP DOI BibTeX RDF |
|
17 | Xinjian Liu, Benton H. Calhoun, Shuo Li 0008 |
A Sub-nW 93% Peak Efficiency Buck Converter With Wide Dynamic Range, Fast DVFS, and Asynchronous Load-Transient Control. |
IEEE J. Solid State Circuits |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Somdip Dey, Samuel Isuwa, Suman Saha, Amit Kumar Singh 0002, Klaus D. McDonald-Maier |
CPU-GPU-Memory DVFS for Power-Efficient MPSoC in Mobile Cyber Physical Systems. |
Future Internet |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Javad Masoudi, Behnam Barzegar, Homayun Motameni |
Energy-Aware Virtual Machine Allocation in DVFS-Enabled Cloud Data Centers. |
IEEE Access |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Badieh Nikzad, Behnam Barzegar, Homayun Motameni |
SLA-Aware and Energy-Efficient Virtual Machine Placement and Consolidation in Heterogeneous DVFS Enabled Cloud Datacenter. |
IEEE Access |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Tarek Hagras |
Slack extender mechanism for greening dependent-tasks scheduling on DVFS-enabled computing platforms. |
J. Supercomput. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Jonatha Anselmi, Bruno Gaujal, Louis-Sébastien Rebuffi |
Optimal Speed Profile of a DVFS Processor under Soft Deadlines. |
SIGMETRICS Perform. Evaluation Rev. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Minyu Cui, Angeliki Kritikakou, Lei Mo, Emmanuel Casseau |
Energy-Efficient Partial-Duplication Task Mapping Under Multiple DVFS Schemes. |
Int. J. Parallel Program. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Qiang Wang 0022, Xinxin Mei, Hai Liu 0001, Yiu-Wing Leung, Zongpeng Li, Xiaowen Chu 0001 |
Energy-Aware Non-Preemptive Task Scheduling With Deadline Constraint in DVFS-Enabled Heterogeneous Clusters. |
IEEE Trans. Parallel Distributed Syst. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Seyed Morteza Nabavinejad, Sherief Reda, Masoumeh Ebrahimi |
Coordinated Batching and DVFS for DNN Inference on GPU Accelerators. |
IEEE Trans. Parallel Distributed Syst. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Fei Teng 0001, Lei Yu 0009, Xiao Liu 0004, Pei Lai |
Tight Lower bound on power consumption for scheduling real-time periodic tasks in core-level DVFS systems. |
Parallel Comput. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Di Liu 0002, Shi-Gui Yang, Zhenli He, Mingxiong Zhao, Weichen Liu |
CARTAD: Compiler-Assisted Reinforcement Learning for Thermal-Aware Task Scheduling and DVFS on Multicores. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Jitendra Kumar Samriya, Rajeev Tiwari, Xiaochun Cheng, Rahul Kumar Singh, Achyut Shankar, Manoj Kumar 0009 |
Network intrusion detection using ACO-DNN model with DVFS based energy optimization in cloud framework. |
Sustain. Comput. Informatics Syst. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Jianzhou Mao, Xiaopu Peng, Ting Cao, Tathagata Bhattacharya, Xiao Qin 0001 |
A frequency-aware management strategy for virtual machines in DVFS-enabled clouds. |
Sustain. Comput. Informatics Syst. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Srikant Bharadwaj, Shomit Das, Kaushik Mazumdar, Bradford M. Beckmann, Stephen Kosonocky |
Predict; Do not React for Enabling Efficient Fine Grain DVFS in GPUs. |
CoRR |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Ranjan Hebbar, Aleksandar Milenkovic |
PMU-Events-Driven DVFS Techniques for Improving Energy Efficiency of Modern Processors. |
ACM Trans. Model. Perform. Evaluation Comput. Syst. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Ali Asghari, Mohammad Karim Sohrabi |
Combined use of coral reefs optimization and multi-agent deep Q-network for energy-aware resource provisioning in cloud data centers using DVFS technique. |
Clust. Comput. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Marjan Mahmoudi, Avid Avokh, Behrang Barekatain |
SDN-DVFS: an enhanced QoS-aware load-balancing method in software defined networks. |
Clust. Comput. |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Sergio Mazzola, Thomas Benz, Björn Forsberg, Luca Benini |
A Data-Driven Approach to Lightweight DVFS-Aware Counter-Based Power Modeling for Heterogeneous Platforms. |
SAMOS |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Lei Mo, Qi Zhou, Angeliki Kritikakou, Ji Liu 0003 |
Energy Efficient, Real-time and Reliable Task Deployment on NoC-based Multicores with DVFS. |
DATE |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Javier Pérez-Rodríguez, Patrick Meumeu Yomsi, Pavel Zaykov |
A Thermal-Aware Approach for DVFS-enabled Multi-core Architectures. |
HPCC/DSS/SmartCity/DependSys |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Andreas Kogler, Daniel Gruss, Michael Schwarz 0001 |
Minefield: A Software-only Protection for SGX Enclaves against DVFS Attacks. |
USENIX Security Symposium |
2022 |
DBLP BibTeX RDF |
|
17 | Xun Liu, Hui Zhao |
Scheduling Algorithm for Low Energy Consumable Parallel Task Application Based on DVFS. |
SmartCom |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Bumgyu Park, Jonglae Park, Hyunwook Joo, Choonghoon Park, Daeyeong Lee, Chulmin Jo, Woonhaing Hur |
DVFS method of memory hierarchy based on CPU microarchitectural information. |
ICECS 2022 |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Maria Patrou, Kenneth B. Kent, Joran Siu, Michael Dawson 0001 |
Optimizing Energy Efficiency of Node.js Applications with CPU DVFS Awareness. |
IGSC |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Xun Liu |
Low Energy Consumption and Time Deterministic Energy-saving Workflow Task Scheduling Algorithm based on DVFS. |
CSCloud/EdgeCom |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Takumi Komori, Yutaka Masuda, Tohru Ishihara |
DVFS Virtualization for Energy Minimization of Mixed-Criticality Dual-OS Platforms. |
RTCSA |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Xinjian Liu, Sumanth Kamineni, Jacob Breiholz, Benton H. Calhoun, Shuo Li 0008 |
A 194nW Energy-Performance-Aware loT SoC Employing a 5.2nW 92.6% Peak Efficiency Power Management Unit for System Performance Scaling, Fast DVFS and Energy Minimization. |
ISSCC |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Endri Taka, George Lentaris, Dimitrios Soudris |
Improving the performance of RISC-V softcores on FPGA by exploiting PVT variability and DVFS. |
ISCAS |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Choonghoon Park, Jonglae Park, Youngtae Lee, Bumgyu Park, Jungwook Kim, Donghee Han, Chulmin Jo, Woonhaing Hur |
A new DVFS algorithm to minimize energy consumption on system-on-chip architecture and electrical characteristics. |
ICCE |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Junge Xu, Bohan Xuan, Anlin Liu, Mo Sun, Fan Zhang 0010, Zeke Wang, Kui Ren 0001 |
Terminator on SkyNet: a practical DVFS attack on DNN hardware IP for UAV object detection. |
DAC |
2022 |
DBLP DOI BibTeX RDF |
|
17 | Matthias Stachowski |
Untersuchung und Modellierung des Energieverbrauchs von DVFS Prozessoren auf Basis von parallelen Berechnungen des wissenschaftlichen Rechnens. |
|
2022 |
RDF |
|
17 | Seyeon Kim, Kyungmin Bin, Sangtae Ha, Kyunghan Lee, Song Chong |
zTT: Learning-Based DVFS with Zero Thermal Throttling for Mobile Devices. |
GetMobile Mob. Comput. Commun. |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Alex Gendler, Ernest Knoll, Yiannakis Sazeides |
I-DVFS: Instantaneous Frequency Switch During Dynamic Voltage and Frequency Scaling. |
IEEE Micro |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Lei Mo, Angeliki Kritikakou, Olivier Sentieys, Xianghui Cao |
Real-Time Imprecise Computation Tasks Mapping for DVFS-Enabled Networked Systems. |
IEEE Internet Things J. |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Hongjian Li, Yaojun Wei, Yu Xiong, Enjie Ma, Wenhong Tian |
A frequency-aware and energy-saving strategy based on DVFS for Spark. |
J. Supercomput. |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Heng Yu 0001, Yajun Ha, Bharadwaj Veeravalli, Fupeng Chen, Hesham El-Sayed |
DVFS-Based Quality Maximization for Adaptive Applications With Diminishing Return. |
IEEE Trans. Computers |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Jing Huang 0012, Renfa Li, Jiyao An, Haibo Zeng 0001, Wanli Chang 0001 |
A DVFS-Weakly Dependent Energy-Efficient Scheduling Approach for Deadline-Constrained Parallel Applications on Heterogeneous Systems. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Shounak Chakraborty 0001, Magnus Själander |
WaFFLe: Gated Cache-Ways with Per-Core Fine-Grained DVFS for Reduced On-Chip Temperature and Leakage Consumption. |
ACM Trans. Archit. Code Optim. |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Jurn-Gyu Park, Nikil D. Dutt, Sung-Soo Lim |
An Interpretable Machine Learning Model Enhanced Integrated CPU-GPU DVFS Governor. |
ACM Trans. Embed. Comput. Syst. |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Xinxin Mei, Qiang Wang 0022, Xiaowen Chu 0001, Hai Liu 0001, Yiu-Wing Leung, Zongpeng Li |
Energy-aware Task Scheduling with Deadline Constraint in DVFS-enabled Heterogeneous Clusters. |
CoRR |
2021 |
DBLP BibTeX RDF |
|
17 | Mohak Chadha, Michael Gerndt |
Modelling DVFS and UFS for Region-Based Energy Aware Tuning of HPC Applications. |
CoRR |
2021 |
DBLP BibTeX RDF |
|
17 | Jonatha Anselmi, Bruno Gaujal, Louis-Sébastien Rebuffi |
Optimal speed profile of a DVFS processor under soft deadlines. |
Perform. Evaluation |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Yahya H. Yassin, Magnus Jahre, Per Gunnar Kjeldsberg, Snorre Aunet, Francky Catthoor |
Fast and Accurate Edge Computing Energy Modeling and DVFS Implementation in GEM5 Using System Call Emulation Mode. |
J. Signal Process. Syst. |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Manjari Gupta, Lava Bhargava, S. Indu 0001 |
Dynamic workload-aware DVFS for multicore systems using machine learning. |
Computing |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Javier Pérez-Rodríguez, Patrick Meumeu Yomsi |
An Efficient Proactive Thermal-Aware Scheduler for DVFS-enabled Single-Core Processors. |
RTNS |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Youssef Ait El Mahjoub, Jean-Michel Fourneau, Hind Castel-Taleb |
Performance Evaluation and Energy Consumption for DVFS Processor. |
EPEW |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Fotios Vartziotis |
TDMS Test Scheduler: An Integrated Framework for Test Scheduling of DVFS-based SoCs with Multiple Voltage Islands. |
ETS |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Somdip Dey, Suman Saha, Amit Kumar Singh 0002, Klaus D. McDonald-Maier |
Asynchronous Hybrid Deep Learning (AHDL): A Deep Learning Based Resource Mapping in DVFS Enabled Mobile MPSoCs. |
WF-IoT |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Xinjian Liu, Shuo Li 0008, Benton H. Calhoun |
An 802pW 93% Peak Efficiency Buck Converter with 5.5×106 Dynamic Range Featuring Fast DVFS and Asynchronous Load-Transient Control. |
ESSCIRC |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Seyeon Kim, Kyungmin Bin, Sangtae Ha, Kyunghan Lee, Song Chong |
zTT: learning-based DVFS with zero thermal throttling for mobile devices. |
MobiSys |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Deepak Ramegowda, Man Lin |
Can Learning-Based Hybrid DVFS Technique Adapt to Different Linux Embedded Platforms? |
SmartWorld/SCALCOM/UIC/ATC/IOP/SCI |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Minyu Cui, Angeliki Kritikakou, Lei Mo, Emmanuel Casseau |
Fault-Tolerant Mapping of Real-Time Parallel Applications under multiple DVFS schemes. |
RTAS |
2021 |
DBLP DOI BibTeX RDF |
|
17 | David Akselrod |
Reinforcement Learning-based Power Management Architecture for Optimal DVFS in SoCs. |
SoCC |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Ranjan Hebbar, Aleksandar Milenkovic |
An Experimental Evaluation of Workload Driven DVFS. |
ICPE (Companion) |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Ke Yu, Hongwang Xiao, Ying Zhao, Jiao Tian, Jinjun Chen |
A Framework for Deep Q-Learning Based Hybrid DVFS Algorithms for Real-Time Systems. |
ISPA/BDCloud/SocialCom/SustainCom |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Ronny Kramer, Gudula Rünger |
A Workflow-Based Support for the Automatic Creation and Selection of Energy-Efficient Task-Schedules on DVFS Processors. |
ICICT (2) |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Christoph W. Kessler, Jörg Keller 0001, Sebastian Litzinger |
Temperature-Aware Energy-Optimal Scheduling of Moldable Streaming Tasks onto 2D-Mesh-Based Many-Core CPUs with DVFS. |
JSSPP |
2021 |
DBLP DOI BibTeX RDF |
|
17 | Miguel E. Perez, Michael A. Sperling, John F. Bulzacchelli, Zeynep Toprak Deniz, Timothy E. Diemoz |
Distributed Network of LDO Microregulators Providing Submicrosecond DVFS and IR Drop Compensation for a 24-Core Microprocessor in 14-nm SOI CMOS. |
IEEE J. Solid State Circuits |
2020 |
DBLP DOI BibTeX RDF |
|
17 | Shervin Hajiamini, Behrooz A. Shirazi |
Chapter Two - A study of DVFS methodologies for multicore systems with islanding feature. |
Adv. Comput. |
2020 |
DBLP DOI BibTeX RDF |
|
17 | Lourdes Mary Amulu, Ravi Ramraj |
Combinatorial meta-heuristics approaches for DVFS-enabled green clouds. |
J. Supercomput. |
2020 |
DBLP DOI BibTeX RDF |
|