The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DVFS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001-2005 (17) 2006 (17) 2007 (30) 2008 (36) 2009 (42) 2010 (41) 2011 (26) 2012 (38) 2013 (34) 2014 (48) 2015 (60) 2016 (40) 2017 (32) 2018 (33) 2019 (47) 2020 (27) 2021 (29) 2022 (31) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(213) inproceedings(445) phdthesis(3)
Venues (Conferences, Journals, ...)
ISLPED(26) DAC(22) CoRR(19) DATE(16) IEEE Trans. Comput. Aided Des....(14) ISCAS(13) IEEE Trans. Computers(12) IEEE J. Solid State Circuits(10) MICRO(10) PATMOS(10) ISSCC(9) ICCD(8) IPDPS(8) SoCC(8) ISQED(7) J. Supercomput.(7) More (+10 of total 269)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 255 occurrences of 138 keywords

Results
Found 661 publication records. Showing 661 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Barry Rountree, David K. Lowenthal, Bronis R. de Supinski, Martin Schulz 0001, Vincent W. Freeh, Tyler K. Bletsch Adagio: making DVS practical for complex HPC applications. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dvs, energy, runtime, mpi, dvfs
20Abhishek Bhattacharjee, Margaret Martonosi Thread criticality predictors for dynamic performance, power, and resource management in chip multiprocessors. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF intel tbb, thread criticality prediction, parallel processing, caches, dvfs
20Hiroki Matsutani, Michihiro Koibuchi, Daihan Wang, Hideharu Amano Adding Slow-Silent Virtual Channels for Low-Power On-Chip Networks. Search on Bibsonomy NOCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, Network-on-Chip, virtual channels, NoC, DVFS, power gating
20Juan Hamers, Lieven Eeckhout Automated hardware-independent scenario identification. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scenario-based design, DVFS, video-decoding
20Toshinori Sato, Yuji Kunitake Exploiting Input Variations for Energy Reduction. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF typical-case design, dynamic retiming, reliable microarchitecture, robust microarchitecture, DVFS, deep sub-micron
20Bin Lin 0002, Arindam Mallik, Peter A. Dinda, Gokhan Memik, Robert P. Dick Power reduction through measurement and modeling of users and CPUs: summary. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF process-driven voltage scaling (PDVS), user-driven frequency scaling (UDFS), dynamic voltage and frequency scaling (DVFS)
20Konrad Malkowski, Padma Raghavan, Mahmut T. Kandemir, Mary Jane Irwin Phase-aware adaptive hardware selection for power-efficient scientific computations. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DVFS adaptive architecture prefetchers sparse scientific codes performance power energy
17Takumi Komori, Yutaka Masuda, Tohru Ishihara Virtualizing DVFS for Energy Minimization of Embedded Dual-OS Platform. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Kyung-Chan An, Neelakantan Narasimman, Tony Tae-Hyoung Kim A 0.6-to-1.2 V Scaling-Friendly Discrete-Time OTA-Free Linear VCO-Based ΔΣ ADC Suitable for DVFS. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Rihui Sun, Pengfei Qiu, Yongqiang Lyu 0001, Jian Dong, Haixia Wang 0001, Dongsheng Wang 0002, Gang Qu 0001 Lightning: Leveraging DVFS-induced Transient Fault Injection to Attack Deep Learning Accelerator of GPUs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Akhirul Islam, Manojit Ghose ELITE: Energy and Latency-Optimized Task Offloading for DVFS-Enabled Resource-Constrained Devices in MEC. Search on Bibsonomy ICDCIT The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Sandipan Sinha, Manish Trivedi, Jaswinder Singh, Sriharsha Enjapuri, Deepesh Gujjar, Ramesh Halli, Girishankar Gurumurthy A 3nm Ultra High-Speed (4.5GHz) SRAM Cache Design With Wide DVFS Range. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Leonardo Piga, Iyswarya Narayanan, Aditya Sundarrajan, Matt Skach, Qingyuan Deng, Biswadip Maity, Manoj Chakkaravarthy, Alison Huang, Abhishek Dhanotia, Parth Malani Expanding Datacenter Capacity with DVFS Boosting: A safe and scalable deployment experience. Search on Bibsonomy ASPLOS (1) The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
17Achilleas Tzenetopoulos, Dimosthenis Masouros, Dimitrios Soudris, Sotirios Xydis DVFaaS: Leveraging DVFS for FaaS Workflows. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Meruyert Karzhaubayeva, Aidar Amangeldi, Jurn-Gyu Park CNN Workloads Characterization and Integrated CPU-GPU DVFS Governors on Embedded Systems. Search on Bibsonomy IEEE Embed. Syst. Lett. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17E. Cao, Saira Musa, Mingsong Chen, Tongquan Wei, Xian Wei, Xin Fu, Meikang Qiu Energy and Reliability-Aware Task Scheduling for Cost Optimization of DVFS-Enabled Cloud Workflows. Search on Bibsonomy IEEE Trans. Cloud Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Weiwei Lin 0001, Xiaoxuan Luo, ChunKi Li, Jiechao Liang, Guokai Wu, Keqin Li 0001 An Energy-Efficient Tuning Method for Cloud Servers Combining DVFS and Parameter Optimization. Search on Bibsonomy IEEE Trans. Cloud Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Saroj Kumar Panda, Man Lin, Ti Zhou Energy-Efficient Computation Offloading With DVFS Using Deep Reinforcement Learning for Time-Critical IoT Applications in Edge Computing. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Amir Javadpour, Arun Kumar Sangaiah, Pedro Pinto 0001, Forough Ja'fari, Weizhe Zhang, Ali Majed Hossein Abadi, Hamidreza Ahmadi 0001 An Energy-optimized Embedded load balancing using DVFS computing in Cloud Data centers. Search on Bibsonomy Comput. Commun. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Xinmei Li, Lei Mo, Angeliki Kritikakou, Olivier Sentieys Approximation-Aware Task Deployment on Heterogeneous Multicore Platforms With DVFS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Louella Colaco, Prashiksha Jain, Arun S. Nair, Biju K. Raveendran, Sasikumar Punnekkat mcDVFS: cycle conserving DVFS scheduler for multi-core mixed criticality systems. Search on Bibsonomy Int. J. Parallel Emergent Distributed Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jing Chen, Madhavan Manivannan, Bhavishya Goel, Miquel Pericàs JOSS: Joint Exploration of CPU-Memory DVFS and Task Scheduling for Energy Efficiency. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Sergio Mazzola, Thomas Benz, Björn Forsberg, Luca Benini A Data-Driven Approach to Lightweight DVFS-Aware Counter-Based Power Modeling for Heterogeneous Platforms. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Ziyang Zhang, Yang Zhao, Huan Li, Changyao Lin, Jie Liu DVFO: Learning-Based DVFS for Energy-Efficient Edge-Cloud Collaborative Inference. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yuan Yao 0009 Game-of-Life Temperature-Aware DVFS Strategy for Tile-Based Chip Many-Core Processors. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Cheng Zhuo, Di Gao, Yuan Cao, Tianhao Shen, Li Zhang 0021, Jinfang Zhou, Xunzhao Yin A DVFS Design and Simulation Framework Using Machine Learning Models. Search on Bibsonomy IEEE Des. Test The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yiwen Zhang 0002 DVFS-based energy-aware scheduling of imprecise mixed-criticality real-time tasks. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Mohammadamin HajiKhodaverdian, Hamed Rastaghi, Milad Saadat, Hamed Shah-Mansouri Reinforcement Learning-Based Task Scheduling Using DVFS Techniques in Mobile Devices. Search on Bibsonomy PIMRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Michael Guilherme Jordan, Guilherme Korol, Tiago Knorst, Mateus Beck Rutzig, Antonio Carlos Schneider Beck Resource Provisioning for CPU-FPGA Environments with Adaptive HLS-Versioning and DVFS. Search on Bibsonomy ISVLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Chengdong Lin, Kun Wang, Zhenjiang Li, Yu Pu A Workload-Aware DVFS Robust to Concurrent Tasks for Mobile Devices. Search on Bibsonomy MobiCom The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yizhi Ding, Haochang Zhi, Jintao Li, Zhuo Chen, Kaiyue Yang, Weiwei Shan A Compact and Robust 28nm CMOS Temperature Sensor with Machine Learning Assisted Design for DVFS SoC. Search on Bibsonomy ICTA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Bo Li 0025, Wuwen Chen, Shicheng Jin, Yuhao Shi DVFS-based Energy-saving Workflow Offloading Strategy in Mobile Edge Computing Environments. Search on Bibsonomy CSCWD The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Philkyue Shin, Dahun Kim, Seongsoo Hong Memory-Aware DVFS Governing Policy for Improved Energy-Saving in the Linux Kernel. Search on Bibsonomy RTCSA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Erich Malan, Valentino Peluso, Andrea Calimera, Enrico Macii Enabling DVFS Side-Channel Attacks for Neural Network Fingerprinting in Edge Inference Services. Search on Bibsonomy ISLPED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Yingchen Wang, Riccardo Paccagnella, Alan Wandke, Zhao Gang, Grant Garrett-Grossman, Christopher W. Fletcher, David Kohlbrenner, Hovav Shacham DVFS Frequently Leaks Secrets: Hertzbleed Attacks Beyond SIKE, Cryptography, and CPU-Only Data. Search on Bibsonomy SP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Youssef Ait El Mahjoub, Leo Le Corre, Hind Castel-Taleb Stochastic Modeling And Optimization For Power And Performance Control In DVFS Systems. Search on Bibsonomy ECMS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Jing Chen, Madhavan Manivannan, Bhavishya Goel, Miquel Pericàs JOSS: Joint Exploration of CPU-Memory DVFS and Task Scheduling for Energy Efficiency. Search on Bibsonomy ICPP The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Zikang Tao, Rihui Sun, Jian Dong Software Countermeasures against DVFS fault Attack for AES. Search on Bibsonomy DSA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Srikant Bharadwaj, Shomit Das, Kaushik Mazumdar, Bradford M. Beckmann, Stephen Kosonocky Predict; Don't React for Enabling Efficient Fine-Grain DVFS in GPUs. Search on Bibsonomy ASPLOS (4) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Sandipan Sinha, Manish Trivedi, Jaswinder Singh, Sriharsha Enjapuri, Deepesh Gujjar, Ramesh Halli, Girishankar Gurumurthy An Innovative Write Circuitry for Enhancing a 3nm L1 Cache Performance Across Wide DVFS Range. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
17Xinjian Liu, Benton H. Calhoun, Shuo Li 0008 A Sub-nW 93% Peak Efficiency Buck Converter With Wide Dynamic Range, Fast DVFS, and Asynchronous Load-Transient Control. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Somdip Dey, Samuel Isuwa, Suman Saha, Amit Kumar Singh 0002, Klaus D. McDonald-Maier CPU-GPU-Memory DVFS for Power-Efficient MPSoC in Mobile Cyber Physical Systems. Search on Bibsonomy Future Internet The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Javad Masoudi, Behnam Barzegar, Homayun Motameni Energy-Aware Virtual Machine Allocation in DVFS-Enabled Cloud Data Centers. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Badieh Nikzad, Behnam Barzegar, Homayun Motameni SLA-Aware and Energy-Efficient Virtual Machine Placement and Consolidation in Heterogeneous DVFS Enabled Cloud Datacenter. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Tarek Hagras Slack extender mechanism for greening dependent-tasks scheduling on DVFS-enabled computing platforms. Search on Bibsonomy J. Supercomput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jonatha Anselmi, Bruno Gaujal, Louis-Sébastien Rebuffi Optimal Speed Profile of a DVFS Processor under Soft Deadlines. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Minyu Cui, Angeliki Kritikakou, Lei Mo, Emmanuel Casseau Energy-Efficient Partial-Duplication Task Mapping Under Multiple DVFS Schemes. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Qiang Wang 0022, Xinxin Mei, Hai Liu 0001, Yiu-Wing Leung, Zongpeng Li, Xiaowen Chu 0001 Energy-Aware Non-Preemptive Task Scheduling With Deadline Constraint in DVFS-Enabled Heterogeneous Clusters. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Seyed Morteza Nabavinejad, Sherief Reda, Masoumeh Ebrahimi Coordinated Batching and DVFS for DNN Inference on GPU Accelerators. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Fei Teng 0001, Lei Yu 0009, Xiao Liu 0004, Pei Lai Tight Lower bound on power consumption for scheduling real-time periodic tasks in core-level DVFS systems. Search on Bibsonomy Parallel Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Di Liu 0002, Shi-Gui Yang, Zhenli He, Mingxiong Zhao, Weichen Liu CARTAD: Compiler-Assisted Reinforcement Learning for Thermal-Aware Task Scheduling and DVFS on Multicores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jitendra Kumar Samriya, Rajeev Tiwari, Xiaochun Cheng, Rahul Kumar Singh, Achyut Shankar, Manoj Kumar 0009 Network intrusion detection using ACO-DNN model with DVFS based energy optimization in cloud framework. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Jianzhou Mao, Xiaopu Peng, Ting Cao, Tathagata Bhattacharya, Xiao Qin 0001 A frequency-aware management strategy for virtual machines in DVFS-enabled clouds. Search on Bibsonomy Sustain. Comput. Informatics Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Srikant Bharadwaj, Shomit Das, Kaushik Mazumdar, Bradford M. Beckmann, Stephen Kosonocky Predict; Do not React for Enabling Efficient Fine Grain DVFS in GPUs. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Ranjan Hebbar, Aleksandar Milenkovic PMU-Events-Driven DVFS Techniques for Improving Energy Efficiency of Modern Processors. Search on Bibsonomy ACM Trans. Model. Perform. Evaluation Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Ali Asghari, Mohammad Karim Sohrabi Combined use of coral reefs optimization and multi-agent deep Q-network for energy-aware resource provisioning in cloud data centers using DVFS technique. Search on Bibsonomy Clust. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Marjan Mahmoudi, Avid Avokh, Behrang Barekatain SDN-DVFS: an enhanced QoS-aware load-balancing method in software defined networks. Search on Bibsonomy Clust. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Sergio Mazzola, Thomas Benz, Björn Forsberg, Luca Benini A Data-Driven Approach to Lightweight DVFS-Aware Counter-Based Power Modeling for Heterogeneous Platforms. Search on Bibsonomy SAMOS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Lei Mo, Qi Zhou, Angeliki Kritikakou, Ji Liu 0003 Energy Efficient, Real-time and Reliable Task Deployment on NoC-based Multicores with DVFS. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Javier Pérez-Rodríguez, Patrick Meumeu Yomsi, Pavel Zaykov A Thermal-Aware Approach for DVFS-enabled Multi-core Architectures. Search on Bibsonomy HPCC/DSS/SmartCity/DependSys The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Andreas Kogler, Daniel Gruss, Michael Schwarz 0001 Minefield: A Software-only Protection for SGX Enclaves against DVFS Attacks. Search on Bibsonomy USENIX Security Symposium The full citation details ... 2022 DBLP  BibTeX  RDF
17Xun Liu, Hui Zhao Scheduling Algorithm for Low Energy Consumable Parallel Task Application Based on DVFS. Search on Bibsonomy SmartCom The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Bumgyu Park, Jonglae Park, Hyunwook Joo, Choonghoon Park, Daeyeong Lee, Chulmin Jo, Woonhaing Hur DVFS method of memory hierarchy based on CPU microarchitectural information. Search on Bibsonomy ICECS 2022 The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Maria Patrou, Kenneth B. Kent, Joran Siu, Michael Dawson 0001 Optimizing Energy Efficiency of Node.js Applications with CPU DVFS Awareness. Search on Bibsonomy IGSC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Xun Liu Low Energy Consumption and Time Deterministic Energy-saving Workflow Task Scheduling Algorithm based on DVFS. Search on Bibsonomy CSCloud/EdgeCom The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Takumi Komori, Yutaka Masuda, Tohru Ishihara DVFS Virtualization for Energy Minimization of Mixed-Criticality Dual-OS Platforms. Search on Bibsonomy RTCSA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Xinjian Liu, Sumanth Kamineni, Jacob Breiholz, Benton H. Calhoun, Shuo Li 0008 A 194nW Energy-Performance-Aware loT SoC Employing a 5.2nW 92.6% Peak Efficiency Power Management Unit for System Performance Scaling, Fast DVFS and Energy Minimization. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Endri Taka, George Lentaris, Dimitrios Soudris Improving the performance of RISC-V softcores on FPGA by exploiting PVT variability and DVFS. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Choonghoon Park, Jonglae Park, Youngtae Lee, Bumgyu Park, Jungwook Kim, Donghee Han, Chulmin Jo, Woonhaing Hur A new DVFS algorithm to minimize energy consumption on system-on-chip architecture and electrical characteristics. Search on Bibsonomy ICCE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Junge Xu, Bohan Xuan, Anlin Liu, Mo Sun, Fan Zhang 0010, Zeke Wang, Kui Ren 0001 Terminator on SkyNet: a practical DVFS attack on DNN hardware IP for UAV object detection. Search on Bibsonomy DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
17Matthias Stachowski Untersuchung und Modellierung des Energieverbrauchs von DVFS Prozessoren auf Basis von parallelen Berechnungen des wissenschaftlichen Rechnens. Search on Bibsonomy 2022   RDF
17Seyeon Kim, Kyungmin Bin, Sangtae Ha, Kyunghan Lee, Song Chong zTT: Learning-Based DVFS with Zero Thermal Throttling for Mobile Devices. Search on Bibsonomy GetMobile Mob. Comput. Commun. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Alex Gendler, Ernest Knoll, Yiannakis Sazeides I-DVFS: Instantaneous Frequency Switch During Dynamic Voltage and Frequency Scaling. Search on Bibsonomy IEEE Micro The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Lei Mo, Angeliki Kritikakou, Olivier Sentieys, Xianghui Cao Real-Time Imprecise Computation Tasks Mapping for DVFS-Enabled Networked Systems. Search on Bibsonomy IEEE Internet Things J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Hongjian Li, Yaojun Wei, Yu Xiong, Enjie Ma, Wenhong Tian A frequency-aware and energy-saving strategy based on DVFS for Spark. Search on Bibsonomy J. Supercomput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Heng Yu 0001, Yajun Ha, Bharadwaj Veeravalli, Fupeng Chen, Hesham El-Sayed DVFS-Based Quality Maximization for Adaptive Applications With Diminishing Return. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jing Huang 0012, Renfa Li, Jiyao An, Haibo Zeng 0001, Wanli Chang 0001 A DVFS-Weakly Dependent Energy-Efficient Scheduling Approach for Deadline-Constrained Parallel Applications on Heterogeneous Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Shounak Chakraborty 0001, Magnus Själander WaFFLe: Gated Cache-Ways with Per-Core Fine-Grained DVFS for Reduced On-Chip Temperature and Leakage Consumption. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Jurn-Gyu Park, Nikil D. Dutt, Sung-Soo Lim An Interpretable Machine Learning Model Enhanced Integrated CPU-GPU DVFS Governor. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Xinxin Mei, Qiang Wang 0022, Xiaowen Chu 0001, Hai Liu 0001, Yiu-Wing Leung, Zongpeng Li Energy-aware Task Scheduling with Deadline Constraint in DVFS-enabled Heterogeneous Clusters. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
17Mohak Chadha, Michael Gerndt Modelling DVFS and UFS for Region-Based Energy Aware Tuning of HPC Applications. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
17Jonatha Anselmi, Bruno Gaujal, Louis-Sébastien Rebuffi Optimal speed profile of a DVFS processor under soft deadlines. Search on Bibsonomy Perform. Evaluation The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Yahya H. Yassin, Magnus Jahre, Per Gunnar Kjeldsberg, Snorre Aunet, Francky Catthoor Fast and Accurate Edge Computing Energy Modeling and DVFS Implementation in GEM5 Using System Call Emulation Mode. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Manjari Gupta, Lava Bhargava, S. Indu 0001 Dynamic workload-aware DVFS for multicore systems using machine learning. Search on Bibsonomy Computing The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Javier Pérez-Rodríguez, Patrick Meumeu Yomsi An Efficient Proactive Thermal-Aware Scheduler for DVFS-enabled Single-Core Processors. Search on Bibsonomy RTNS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Youssef Ait El Mahjoub, Jean-Michel Fourneau, Hind Castel-Taleb Performance Evaluation and Energy Consumption for DVFS Processor. Search on Bibsonomy EPEW The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Fotios Vartziotis TDMS Test Scheduler: An Integrated Framework for Test Scheduling of DVFS-based SoCs with Multiple Voltage Islands. Search on Bibsonomy ETS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Somdip Dey, Suman Saha, Amit Kumar Singh 0002, Klaus D. McDonald-Maier Asynchronous Hybrid Deep Learning (AHDL): A Deep Learning Based Resource Mapping in DVFS Enabled Mobile MPSoCs. Search on Bibsonomy WF-IoT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Xinjian Liu, Shuo Li 0008, Benton H. Calhoun An 802pW 93% Peak Efficiency Buck Converter with 5.5×106 Dynamic Range Featuring Fast DVFS and Asynchronous Load-Transient Control. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Seyeon Kim, Kyungmin Bin, Sangtae Ha, Kyunghan Lee, Song Chong zTT: learning-based DVFS with zero thermal throttling for mobile devices. Search on Bibsonomy MobiSys The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Deepak Ramegowda, Man Lin Can Learning-Based Hybrid DVFS Technique Adapt to Different Linux Embedded Platforms? Search on Bibsonomy SmartWorld/SCALCOM/UIC/ATC/IOP/SCI The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Minyu Cui, Angeliki Kritikakou, Lei Mo, Emmanuel Casseau Fault-Tolerant Mapping of Real-Time Parallel Applications under multiple DVFS schemes. Search on Bibsonomy RTAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17David Akselrod Reinforcement Learning-based Power Management Architecture for Optimal DVFS in SoCs. Search on Bibsonomy SoCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Ranjan Hebbar, Aleksandar Milenkovic An Experimental Evaluation of Workload Driven DVFS. Search on Bibsonomy ICPE (Companion) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Ke Yu, Hongwang Xiao, Ying Zhao, Jiao Tian, Jinjun Chen A Framework for Deep Q-Learning Based Hybrid DVFS Algorithms for Real-Time Systems. Search on Bibsonomy ISPA/BDCloud/SocialCom/SustainCom The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Ronny Kramer, Gudula Rünger A Workflow-Based Support for the Automatic Creation and Selection of Energy-Efficient Task-Schedules on DVFS Processors. Search on Bibsonomy ICICT (2) The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Christoph W. Kessler, Jörg Keller 0001, Sebastian Litzinger Temperature-Aware Energy-Optimal Scheduling of Moldable Streaming Tasks onto 2D-Mesh-Based Many-Core CPUs with DVFS. Search on Bibsonomy JSSPP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Miguel E. Perez, Michael A. Sperling, John F. Bulzacchelli, Zeynep Toprak Deniz, Timothy E. Diemoz Distributed Network of LDO Microregulators Providing Submicrosecond DVFS and IR Drop Compensation for a 24-Core Microprocessor in 14-nm SOI CMOS. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Shervin Hajiamini, Behrooz A. Shirazi Chapter Two - A study of DVFS methodologies for multicore systems with islanding feature. Search on Bibsonomy Adv. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
17Lourdes Mary Amulu, Ravi Ramraj Combinatorial meta-heuristics approaches for DVFS-enabled green clouds. Search on Bibsonomy J. Supercomput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 661 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license