The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ESSCIRC"( http://dblp.L3S.de/Venues/ESSCIRC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/esscirc

Publication years (Num. hits)
2003 (175) 2004 (115) 2005 (128) 2007 (121) 2008 (122) 2009 (113) 2010 (124) 2011 (133) 2012 (121) 2013 (109) 2014 (113) 2015 (102) 2016 (124) 2017 (96) 2018 (94) 2019 (97) 2021 (125) 2022 (132) 2023 (129)
Publication types (Num. hits)
inproceedings(2254) proceedings(19)
Venues (Conferences, Journals, ...)
ESSCIRC(2273)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 2273 publication records. Showing 2273 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Dewei Wang, Jonghyun Oh, Gregory K. Chen, Phil C. Knag, Ram K. Krishnamurthy, Mingoo Seok microASR: 32-μW Real-Time Automatic Speech Recognition Chip featuring a Bio-Inspired Neuron Model and Digital SRAM-based Compute-In-Memory Hardware. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Moritz Scherer, Manuel Eggimann, Alfio Di Mauro, Arpan Suravi Prasad, Francesco Conti 0001, Davide Rossi, Jorge Tomás Gómez, Ziyun Li, Syed Shakib Sarwar, Zhao Wang, Barbara De Salvo, Luca Benini Siracusa: A Low-Power On-Sensor RISC-V SoC for Extended Reality Visual Processing in 16nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jonathan Ungethüm, Michael Pietzko, Ahmed Abdelaal, John G. Kauffman, Maurits Ortmanns A Chopped 6-bit 1.6 GS/s SAR ADC Utilizing Slow Decision Information in 22 nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zhe Liu 0038, Chirn Chye Boon, Yangtao Dong, Kaituo Yang A 2.4dB NF +4.1dBm IIP3 Differential Dual-Feedforward-Based Noise-Cancelling LNTA With Complementary NMOS and PMOS Configuration. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Paolo Melillo, Mauro Leoncini, Simone Zaffin, Alberto Brunero, Alessandro Gasparini, Salvatore Levantino, Massimo Ghioni A Compact Wide-Input-Range Time-Domain Buck Converter with Fast Transient Response for Industrial Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Milad Haghi Kashani, Hossein Shakiba, Ali Sheikholeslami A 0.82pJ/b 50Gb/s PAM4 VCSEL Driver with 3-Tap Asymmetric FFE in 12nm CMOS FinFET Process. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sohum Datta, Brian C. Richards, Harrison Liew, Youbin Kim, Daniel Sun 0005, Jan M. Rabaey HDBinaryCore: A 28nm 2048-bit Hyper-Dimensional biosignal classifier achieving 25 nJ/prediction for EMG hand-gesture recognition. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xinyu Shen, Zhao Zhang 0004, Guike Li, Yong Chen, Nan Qi, Jian Liu 0021, Nanjian Wu, Liyuan Liu A 4-12.1-GHz Fractional-N Ring Sampling PLL Based on Adaptively-Biased PD-Merged DTC Achieving -37.6± 0.9-dBc Integrated Phase Noise, 261.9-fs RMS Jitter, and -240.6-dB FoM. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rishabh Sehgal, Rishab Mehra, Can Ni, Jaydeep P. Kulkarni Compute-MLROM: Compute-in-Multi Level Read Only Memory for Energy Efficient Edge AI Inference Engines. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Islam Mostafa, Eva-Maria Korek, Ralf Brederlow A 2.2 fA/√Hz, 120 dB Dynamic Range, 12 GΩ Hybrid Readout Interface for Various Ionic Spectroscopy Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Elbert Bechthum, Erwin Allebes, Paul Mateman, Yiyu Shen, Peter Vis, Yao-Hong Liu, Christian Bachmann A 380μW IEEE 802.15.4z IR-UWB pulse-mixing transmitter featuring enable-locking RFDCO with extensive duty-cycling in 22nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pawan K. Khanna, Yu Zhao, Mahdi Forghani, Behzad Razavi A Low-Power 28-GHz Beamforming Receiver with On-Chip LO Synthesis. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ruixing He, Alireza Rouhafza, Yahya M. Tousi A Scalable Multi-Chip Self-Aligning Ka-Band Phased Array. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Bogdan C. Raducanu, Joan Aymerich, Wen-Yang Hsu, Patrick Hendrickx, Carolina Mora Lopez A 128-channel neural stimulation and recording ASIC for scalable cortical visual prosthesis. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yipeng Wang 0017, Mengtian Yang, Shanshan Xie, Meizhi Wang, Jaydeep P. Kulkarni CIMGN: An Energy-efficient All-digital Compute-in-memory Graph Neural Network Processor. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Saion K. Roy, Han-Mo Ou, Mostafa Gamal Ahmed, Peter Deaville, Bonan Zhang, Naveen Verma, Pavan Kumar Hanumolu, Naresh R. Shanbhag Compute SNR-boosted 22 nm MRAM-based In-memory Computing Macro using Statistical Error Compensation. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Waleed Madany, Yuncheng Zhang, Ashbir Aviat Fadila, Hongye Huang, Junjun Qiu, Atsushi Shirane, Kenichi Okada A Fully Synthesizable DPLL with Background Gain Mismatch Calibrated Feedforward Phase Noise Cancellation Path. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Paolo Lorenzi 0003, Fausto Borghetti, Roberto Penzo, Edoardo Bezzati, Enrico Tonazzo, Maurizio Galvano Adaptive Output Discharge DC-DC for glare free LED front-light. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yimai Peng, Ashwin Bhat, Sanjay Wadhwa, David T. Blaauw, Dennis Sylvester A 4.6nW Subthreshold Voltage Reference with 400× Current Variation Reduction and 64-Step 0.11% Output Voltage Programmability. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yunzhao Nie, Woogeun Rhee, Zhihua Wang 0001 A 17.3mW IEEE 802.15.4/4z Coherent Quadrature Hybrid Correlation UWB Receiver in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Renze Gan, Liangjian Lyu, Chuanjin Richard Shi A 7-Channel Bio-Signal Analog Front End Employing Single-End Chopping Amplifier Achieving 1.48 NEF. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mohit Gupta, Stefan Cosemans, Peter Debacker, Wim Dehaene A 2Mbit Digital in-Memory Computing Matrix-Vector Multiplier for DNN Inference supporting flexible bit precision and matrix size achieving 612 binary TOPS/W. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hikaru Sebe, Tomohisa Okumura, Shintaro Sumi, Daisuke Kanemoto, Po-Hung Chen, Tetsuya Hirose Sub-30-mV-Supply, Fully Integrated Ring Oscillator Consisting of Recursive Stacking Body-Bias Inverters for Extremely Low-Voltage Energy Harvesting. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jaekyum Lee, Albert Theuwissen A linearity improvement method for CIS column-parallel SAR ADC using two-step conversion. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xinhang Xu, Linxiao Shen, Siyuan Ye, Jiayi Wu, Zhuoyi Chen, Jihang Gao, Jiajia Cui, Yihan Zhang 0002, Ru Huang, Le Ye A 12.5-ppm/°C 1.086-nW/kHz Relaxation Oscillator with Clock-Gated Discrete-Time Comparator in 22nm CMOS Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mathias Schulz, Stefan Keil, Simon Löhlein, Sourish Banerjee, Nicolai Simon, Catherine Dubourdieu, Volker Bucher, Roland Thewes On the Noise Contribution of Dielectric Interfaces in Biochemical CMOS Sensor Chips. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shutao Zhang, Tobias Gemmeke A 22-nm 1,287-MOPS/W Structured Data-Path Array for Binary Ring-LWE PQC. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1You You, Ruizhi Tian, Yacong Zhang, Zhongjian Chen, Wengao Lu, Yihan Zhang 0002 A 15.7-V-Compliant 86% Peak Efficiency Current-Mode Stimulator With Dynamic Voltage Supply for Implantable Medical Devices. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Siddharth Das, McKenzie van der Hagen, Swarali Patil, Cagri Erbagci, Brandon Lucia, Ken Mai A 10.33 μJ/encryption Homomorphic Encryption Engine in 28nm CMOS with 4096-degree 109-bit Polynomials for Resource-Constrained IoT Clients. Search on Bibsonomy ESSCIRC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lukas Seidel, Sören Schäfer, Michael Oehme, Dan Buca, Giovanni Capellini, Jörg Schulze, Daniel Schwarz Electroluminescence of SixGe1-x-ySny/Ge1-ySny pin-Diodes Grown on a GeSn Buffer. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jaehyun Ko 0001, Iksu Jang, Chanho Kim, Jihoon Park, Changjae Moon, Sooeun Lee, Byungsub Kim A 50 Mb/s Full HBC TRX with Adaptive DFE and Variable-Interval 3x Oversampling CDR in 28nm CMOS Technology for A 75 cm Body Channel Moving at 0.75 Cycle/sec. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jing-Siang Chen, Chun-Ting Chang, Yu-Te Liao A 433-MHz Wireless Burst-Chirp Modulation Transmitter with Adaptive Duty-Cycle Control and Precharge Mechanism. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lorenzo Piotto, Guglielmo De Filippi, Daniele Dal Maistro, Simone Erba, Andrea Mazzanti A K-band Gilbert-Cell Frequency Doubler with Self-Adjusted 25% LO Duty-Cycle in SiGe BiCMOS Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Mridula Prathapan, Peter Mueller, Christian Menolfi, Matthias Brändli, Marcel A. Kossel, Pier Andrea Francese, David Heim, Maria Vittoria Oropallo, Andrea Ruffino, Cezar B. Zota, Thomas Morf A cryogenic SRAM based arbitrary waveform generator in 14 nm for spin qubit control. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Woojin Jang, Gyeong-Gu Kang, Yong Lim, Hyun-Sik Kim A Pipeline ADC with Negative C-assisted SC Amplifier Canceling Gain Error and Nonlinearity. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nicola Massari, Alessio D'Andragora, Matteo Perenzoni, Andrey Selijak, Carlos Chavez Barajas, Alan Taylor, Jon Taylor, Gianluigi Casse, John Pettingell, Ignacio Di Biase A scalable 64×64 pixels monolithic HV-CMOS sensor for hadron therapy with 1ns time stamping capability and in-pixel ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Michael Hanhart, Jonas Zoche, Jan Grobe, Léon Weihs, Leo Rolff, Ralf Wunderlich, Stefan Heinen A Half-Bridge Gate-Driver for high-efficient Boost Converter Applications with single-sided ZVS and an adaptive Ringing Suppression Technique. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Angelo Garofalo, Matteo Perotti, Luca Valente, Yvan Tortorella, Alessandro Nadalini, Luca Benini, Davide Rossi, Francesco Conti 0001 Darkside: 2.6GFLOPS, 8.7mW Heterogeneous RISC-V Cluster for Extreme-Edge On-Chip DNN Inference and Training. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tim Maiwald, Akshay Visweswaran, Klaus Aufinger, Robert Weigel A Full D-band Multi-Gbit RF-DAC in 90 nm SiGe BiCMOS based on Passive Vector Aggregation. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Nicola Cordioli, Danilo Manstretta, Rinaldo Castello A 58 GHz Bandwidth, and less than 1.8% THD, Mach-Zehnder Driver, in 28 nm CMOS Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fengben Xi, Andreas Grenmy, Jiayuan Zhang, Yi Han, Jin Hee Bae, Detlev Grützmacher, Qing-Tai Zhao Ferroelectric Schottky Barrier MOSFET as Analog Synapses for Neuromorphic Computing. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Martin Lefebvre 0002, Denis Flandre, David Bol A 0.9-nA Temperature-Independent 565-ppm/°C Self-Biased Current Reference in 22-nm FDSOI. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Elbert Bechthum, Minyoung Song, Gaurav Singh 0005, Erwin Allebes, Charis Basetas, Pepijn Boer, Arjan Breeschoten, Stefan Cloudt, Johan Dijkhuis, Ming Ding 0003, Sherwin Gatchalian, Yuming He, Johan H. C. van den Heuvel, Martijn Hijdra, Paul Mateman, Bernard Meyer, Gert-Jan van Schaik, Mohieddine El Soussi, Bart Thijssen, Stefano Traferro, Evgenii Turin, Peter Vis, Nick Winkel, Peng Zhang, Yao-Hong Liu, Christian Bachmann A 3-10GHz 21.5mW/Channel RX and 8.9mW TX IR-UWB 802.15.4a/z 1T3R Transceiver. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kaushik Sengupta, Suresh Venkatesh, Hooman Saeidi, Xuyang Lu Reconfigurable Intelligent Surfaces Enabled by Silicon Chips for Secure and Robust mmWave and THz Wireless Communication. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yuting Shen, Mariska van der Struijk, Kevin Pelzers, Hanyue Li, Eugenio Cantatore, Pieter Harpe A 2.74pJ/conversion 0.0018mm2 Temperature Sensor with On-chip Gain and Offset Correction. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jin Woong Kwak, Dongsheng Brian Ma An Automotive-Use Dual-fsw-Zone Hybrid Switching Power Converter with Vo-Jitter-Immune Spread-Spectrum Modulation. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shu-Yung Lin, Sheng Cheng Lee, Ke-Horng Chen, Kuo-Lin Zheng, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai Input Nonlinear Adaptive Voltage Position Technique in the Switched-capacitor Converter with Feedforward Compensation for 87.8% Peak Efficiency under 8X Input Interference. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Maurice Wanitzek, Michael Oehme, Christian Spieth, Daniel Schwarz, Lukas Seidel, Jörg Schulze GeSn-on-Si Avalanche Photodiodes for Short-Wave Infrared Detection. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Amit Agarwal 0001, Steven Hsu, Mark A. Anders 0001, Gunjan Pandya, Ram Krishnamurthy 0001, James W. Tschanz, Vivek De On-Chip High-Resolution Timing Characterization Circuits for Memory IPs. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Seonho Kim, Changyoun Im, Jongmin Lee, Soyoun Jeong, Jaerok Kim, Yoonmyung Lee Logic-embedded Physically Unclonable Functions for Synthesizable and Periphery-free Implementation for Low Area and Design Cost IoT Security. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Dirk Droste, Horst Symanzik, Timo Gießelmann, Markus Ulm, Ivano Galdi, Riccardo Campagna Integrated Circuits as Key Enabler for today's Smart MEMS Sensors. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Shubham Mehrotra, Efraïm Eland, Shoubhik Karmakar, Angqi Liu, Burak Gönen, Muhammed Bolatkale, Robert H. M. van Veldhoven, Kofi A. A. Makinwa A 590 µW, 106.6 dB SNDR, 24 kHz BW Continuous-Time Zoom ADC with a Noise-Shaping 4-bit SAR ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lairong Fang, Yijie Li, Yao Zhang, Shuwen Zhang, Xiaoyang Zeng, Zhiliang Hong, Jiawei Xu 0001 A 130μW Three-Step DT Incremental Δ ∑ ADC Achieving 107.6dB DR and 99.3dB SNDR with Zoom and Extended-Range Counting. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yudai Yamazaki, Jian Pang, Atsushi Shirane, Kenichi Okada A 1.8-67GHz Divide-by-4 ILFD Using Area-Efficient Transformer-Based Injection-Enhancing Technique. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Eric H. Pollmann, Yatin Gilhotra, Heyu Yin, Kenneth L. Shepard Fully Implantable 192×256 SPAD Sensor with Global-Shutter and Micro-LEDs for Bidirectional Subdural Optical Brain-Computer Interfaces. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yeonggeun Song, Kyoungjoon Ha, Han-Gon Ko, Min-Seong Choo, Deog-Kyoon Jeong A -247.1 dB FoM, -77.9dBc Reference Spur Ring-Oscillator-Based Injection-Locked Clock Multiplier with Multi-Phase-Based Calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alexander Frank, Jens Anders, Joachim N. Burghartz, Bart Kootte, Jean Schleipen, Peter Jutte An Integrated Optical Transceiver Circuit for Power Delivery and Bi-directional Data Communication in a Medical Catheter Device. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Wim Kruiskamp A Fully Differential 40 MHz Switched-Capacitor Crystal Oscillator with Fast Start-Up. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Semen Syroiezhin, Oguzhan Oezdamar, Robert Weigel, Valentyn Solomko Switching Time Acceleration for High-Voltage CMOS RF Switch. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Surachoke Thanapitak, Chutham Sawigun A Chopper Biopotential Instrumentation Amplifier With DSL-Embedded Input Stage Achieving 109 dB CMRR and 400 mV DC Offset Tolerance. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Daniel Krüger, Aoyang Zhang, Henry Hinton, Victor Marrugat Arnal, Yi-Qiao Song, Yiqiao Tang, Ka-Meng Lei, Jens Anders, Donhee Ham A Portable CMOS-based MRI System with 67×67×83 µm3Image Resolution. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pietro Caragiulo, Athanasios Ramkaj, Amin Arbabian, Boris Murmann A 56 GS/s 8-bit 0.011 mm2 4x Delta-Interleaved Switched-Capacitor DAC in 16nm FinFET CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Suzanne Lancaster, Quang T. Duong, Erika Covi, Thomas Mikolajick, Stefan Slesazeck Improvement of FTJ on-current by work function engineering for massive parallel neuromorphic computing. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Lara Novaresi, Piero Malcovati, Andrea Mazzanti, Edoardo Bonizzoni, Marco Terenzi, Stefano Ottaviani, Davide Ghisu, Fabio Quaglia, Alessandro Stuart Savoia A PMUT Transceiver Front-End with 100-V TX Driver and Low-Noise Voltage Amplifier in BCD-SOI Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Valentyn Solomko, Semen Syroiezhin, Danial Tayari, Jochen Essel, Robert Weigel High-Voltage CMOS RF Switch with Active Biasing. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alessio Antolini, Andrea Lico, Eleonora Franchi Scarselli, Antonio Gnudi, Luca Perilli, Mattia Luigi Torres, Marcella Carissimi, Marco Pasotti, Roberto Canegallo An embedded PCM Peripheral Unit adding Analog MAC In-Memory Computing Feature addressing Non-linearity and Time Drift Compensation. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Tianyu Jia, Paolo Mantovani, Maico Cassel dos Santos, Davide Giri, Joseph Zuckerman, Erik Jens Loscalzo, Martin Cochet, Karthik Swaminathan, Gabriele Tombesi, Jeff Jun Zhang, Nandhini Chandramoorthy, John-David Wellman, Kevin Tien, Luca P. Carloni, Kenneth L. Shepard, David Brooks 0001, Gu-Yeon Wei, Pradip Bose A 12nm Agile-Designed SoC for Swarm-Based Perception with Heterogeneous IP Blocks, a Reconfigurable Memory Hierarchy, and an 800MHz Multi-Plane NoC. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Pierluigi Cenci, Hans Brekelmans, Shagun Bajoria, Marcello Ganzerli, Bernard Burdiek, Robert Rutten, Yihan Gao, Muhammed Bolatkale, Paul Swinkels, Lucien J. Breems A 2GHz 2-bit Continuous-Time Delta Sigma ADC with 2GHz chopper achieving 12nV/sqrt(Hz) 1/f noise at 153kHz and -104.7dBc THD in 30MHz BW. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gerard Mora-Puchalt, Gabriel Banarie, Pawel Czapor, Adrian Sherry, Roberto Maurino, Jesús Bonache, Italo Medina A 128ksps 120dB THD Low Noise Analog Front End. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Gerd Kiene, Aishwarya Gunaputi Sreenivasulu, Ramon Overwater, Masoud Babaie, Fabio Sebastiano Cryogenic Comparator Characterization and Modeling for a Cryo-CMOS 7b 1-GSa/s SAR ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hongzhuo Liu, Wei Deng 0001, Haikun Jia, Shiyan Sun, Qixiu Wu, Jiajie Tang, Zhihua Wang 0001, Baoyong Chi A 4.7GHz Synchronized-Multi-Reference PLL with In-Band Phase Noise Lower than Reference Phase Noise +20logNdiv. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Omer Nechushtan, Asaf Feldman, Joseph Shor A 385mV, 270nW, Accurate Voltage Level Detector for IoT. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kunyang Liu, Gen Li, Zihan Fu, Xuanzhen Wang, Hirofumi Shinohara A 2.17-pJ/b 5b-Response Attack-Resistant Strong PUF with Enhanced Statistical Performance. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Danielius Kramnik, Imbert Wang, Josep M. Fargas Cabanillas, Anirudh Ramesh, Sidney Buchbinder, Panagiotis G. Zarkos, Christos G. Adamopoulos, Prem Kumar, Milos A. Popovic, Vladimir Stojanovic Quantum-Correlated Photon-Pair Source with Integrated Feedback Control in 45 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sadegh Kamaei, Ali Saeidi, Xia Liu, Carlotta Gastaldi, Clara Moldovan, Jürgen Brugger, Adrian M. Ionescu Fully integrated Si: HfO2 Negative Capacitance 2D-2D WSe2/SnSe2 Subthermionic Tunnel FETs. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Hossein Jalili, Yuqi Liu, Tzu-Yuan Huang, Hua Wang 0006 A Joint Space/Time Modulation Lens-Coupled 230-GHz Terahertz Source with 40°/43°2-D Beam Steering for Fast High-Resolution Imaging/Sensing Applications. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Peizhuo Wang, Tianxiang Qu, Liangbo Lei, Zhiliang Hong, Jiawei Xu 0001 A 136GΩ-Input-Impedance Active Electrode for Non-Contact ECG Using Auto-Calibrated Positive Feedback and Capacitance Scaling in Femtofarad Resolution. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Bruna Cardoso Paz, Victor El-Homsy, David J. Niegemann, Bernhard Klemt, Emmanuel Chanrion, Vivien Thiney, Baptiste Jadot, Pierre-André Mortemousque, Benoit Bertrand, Thomas Bedecarrats, Heimanu Niebojewski, François Perruchot, Silvano De Franceschi, Maud Vinet, Matias Urdampilleta, Tristan Meunier Coupling control in the few-electron regime of quantum dot arrays using 2-metal gate levels in CMOS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Rinaldo Castello Reminiscing through 40 years of CMOS analog circuit design: from audio to GHz. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Vida Ilderem, Stefano Pellerano, Jim Tschanz, Tanay Karnik, Vivek De Innovations for Intelligent Edge. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Xichen Li, Yi-Hsiang Huang, Fucheng Yin, Jacques C. Rudell A 2.4GHz Full-Duplex Transceiver with Broadband (+120MHz), Linearity-Calibrated and Long-Delayed Self-Interference Cancellation. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Oi-Ying Wong, Dries Tabruyn, Veronique Rochus, Nick Van Helleputte An Implantable Power Extraction Circuit with Integrated PMUTs for Wireless Power Delivery. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Evelyn Ware, Justin M. Correll, Seungjong Lee, Michael P. Flynn 6GS/s 8-channel CIC SAR TI-ADC with Neural Network Calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Leonardo Gasparini, Manuel Moreno-García, Majid Zarghami, André Stefanov, Bruno Eckmann, Matteo Perenzoni A reconfigurable 224×272-pixel single-photon image sensor for photon timestamping, counting and binary imaging at 30.0-μm pitch in 11 0nm CIS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jing-Ren Yan, Hao-Yi Kuo, Yu-Te Liao A Wide-input-range 918MHz RF Energy Harvesting IC with Adaptive Load and Input Power Tracking Technique. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ian Costanzo, Devdip Sen, John A. McNeill, Ulkuhan Guler 0001 A Nonuniform Sampling Lifetime Estimation Technique for Luminescent Oxygen Measurements. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Masaru Osada, Zule Xu, Tetsuya Iizuka An Inductorless Fractional-N PLL Using Harmonic-Mixer-Based Dual Feedback and High-OSR Delta-Sigma-Modulator with Phase-Domain Filtering. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Carl D'heer, Patrick Reynaert A 135 GHz 32 Gb/s Direct-Digital Modulation 16-QAM Transmitter in 28 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Kyutaek Oh, Hyunjin Ahn, Ilku Nam, Ockgoo Lee A 24-to-44 GHz Compact Linear 5G Power Amplifier with Open-Terminated Balun in 65nm Bulk CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jose Luis Ceballos, Christopher Rogi, Fulvio Ciciotti, Cesare Buffa, Dietmar Straeussnigg, Andreas Wiesbauer A 69dBA-730µW Silicon Microphone System with Ultra & Infra-Sound Robustness. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Sehee Lim, Youngin Goh, Young Kyu Lee, Dong Han Ko, Junghyeon Hwang, Minki Kim, Yeongseok Jeong, Hunbeom Shin, Sanghun Jeon, Seong-Ook Jung A Highly Integrated Crosspoint Array Using Self-rectifying FTJ for Dual-mode Operations: CAM and PUF. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Yu-Chun Ding, Kai-Pin Lin, Chi-Wen Weng, Li-Wei Wang, Huan-Ching Wang, Chun-Yeh Lin, Yong-Tai Chen, Chao-Tsung Huang A 4.6-8.3 TOPS/W 1.2-4.9 TOPS CNN-based Computational Imaging Processor with Overlapped Stripe Inference Achieving 4K Ultra-HD 30fps. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Edgar F. M. Albuquerque, Ricardo Bugalho, Luís B. Oliveira, T. Niknejad, José C. Silva, Alessio Boletti, João Varela A Full Current-Mode Timing Circuit with Dark Noise Suppression for the CERN CMS Experiment. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Ethan Chou, Nima Baniasadi, Hesham Beshary, Meng Wei, Emily Naviasky, Lorenzo Iotti, Ali M. Niknejad A Low-Power and Energy-Efficient D-Band CMOS Four-Channel Receiver with Integrated LO Generation for Digital Beamforming Arrays. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jui-Hung Sun, Bill Ling, Md. Abdullah-Al Kaiser, Constantine Sideris A Drift-Compensated Magnetic Spectrometer for Point-of-Care Wash-Free Immunoassays using a Concurrent Dual-Frequency Oscillator. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Jin Jin, Simone Lecchi, Rinaldo Castello, Danilo Manstretta An FDD Auxiliary Receiver with a Highly Linear Low Noise Amplifier. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Staffan Ek, Patrik Karlsson, Andreas Kämpe, Roland Strandberg, Aravind Tharayil Narayanan, Martin Anderson, Hind Dafallah, Mesrop Daghbashyan, Tayebeh Ghanavati Nejad, Robert Hägglund, Nikola Ivanisevic, Robert Nilsson, Peter Nygren, Mattias Palm, Erik Säll, Sha Tao, My-Chien Yee, Lars Sundström A Bang-Bang Digital PLL Covering 11.1-14.3 GHz and 14.7-18.7 GHz with sub-40 fs RMS Jitter in 7 nm FinFET Technology. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Fabio Bersano, Franco De Palma, Fabian Oppliger, Floris Braakman, Ionut Radu, Pasquale Scarlino, Martino Poggio, Adrian Mihai Ionescu Multi-Gate FD-SOI Single Electron Transistor for hybrid SET-MOSFET quantum computing. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Alessandro Catania, Andrea Ria, Giuseppe Manfredini, Michele Dei, Massimo Piotto, Paolo Bruschi A 150 mV, Sub-1 nW, 0.75%-Full-Scale INL Delta-Sigma ADC for Power-Autonomous Sensor Nodes. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
1Soo-Min Lee, Jihoon Lim, Jaehyuk Jang, Hyoungjoong Kim, Kyunghwan Min, Woongki Min, Hyeonji Han, Gyusik Kim, Jaeyoung Kim, Chulho Kim, Sejun Jeon, Jinhoon Park, Hyunsu Chae, Sangwook Han, Hiep Pham, Xingliang Zhao, Qilin Gu, Chih-Wei Yao, Sangho Kim, Jongwoo Lee A 64Gb/s Downlink and 32Gb/s Uplink NRZ Wireline Transceiver with Supply Regulation, Background Clock Correction and EOM-based Channel Adaptation for Mid-Reach Cellular Mobile Interface in 8nm FinFET. Search on Bibsonomy ESSCIRC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 2273 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license